CN103855097A - Sram单元中的接触塞及其形成方法 - Google Patents
Sram单元中的接触塞及其形成方法 Download PDFInfo
- Publication number
- CN103855097A CN103855097A CN201310165080.1A CN201310165080A CN103855097A CN 103855097 A CN103855097 A CN 103855097A CN 201310165080 A CN201310165080 A CN 201310165080A CN 103855097 A CN103855097 A CN 103855097A
- Authority
- CN
- China
- Prior art keywords
- mask layer
- transistor
- pull
- sram cell
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 62
- 238000005530 etching Methods 0.000 claims abstract description 24
- 239000010410 layer Substances 0.000 claims description 247
- 230000015572 biosynthetic process Effects 0.000 claims description 49
- 229920002120 photoresistant polymer Polymers 0.000 claims description 21
- 239000000463 material Substances 0.000 claims description 18
- 238000000059 patterning Methods 0.000 claims description 17
- 230000005540 biological transmission Effects 0.000 claims description 15
- 239000003989 dielectric material Substances 0.000 claims description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 230000003068 static effect Effects 0.000 claims description 8
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- 239000010703 silicon Substances 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 4
- 239000011229 interlayer Substances 0.000 claims description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 4
- 239000000377 silicon dioxide Substances 0.000 claims description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 4
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 3
- 239000011368 organic material Substances 0.000 claims description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 3
- 229920005591 polysilicon Polymers 0.000 claims description 3
- 239000003870 refractory metal Substances 0.000 claims description 3
- 102100023990 60S ribosomal protein L17 Human genes 0.000 description 28
- 101710089372 Programmed cell death protein 1 Proteins 0.000 description 28
- 230000004888 barrier function Effects 0.000 description 22
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 11
- -1 PD-2 Proteins 0.000 description 9
- 238000005520 cutting process Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000001259 photo etching Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000008569 process Effects 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B99/00—Subject matter not provided for in other groups of this subclass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/12—Static random access memory [SRAM] devices comprising a MOSFET load element
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/12—Static random access memory [SRAM] devices comprising a MOSFET load element
- H10B10/125—Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Engineering & Computer Science (AREA)
- Geometry (AREA)
- Semiconductor Memories (AREA)
Abstract
一种方法包括在SRAM单元的一部分上方形成介电层。该SRAM单元包括第一上拉晶体管和第二上拉晶体管、与第一上拉晶体管和第二上拉晶体管形成交叉锁存的反相器的第一下拉晶体管和第二下拉晶体管、以及分别连接至第一上拉晶体管和第一下拉晶体管的漏极和第二上拉晶体管和第二下拉晶体管的漏极的第一传输门晶体管和第二传输门晶体管。在介电层上方形成第一掩模层并对其进行图案化。在介电层上形成第二掩模层并对其进行图案化。将第一掩模层和第二掩模层结合起来用作蚀刻掩模来蚀刻介电层,其中,在介电层中形成接触件开口。在接触件开口中形成接触塞。本发明还提供了SRAM单元中的接触塞及其形成方法。
Description
技术领域
本发明一般地涉及半导体技术领域,更具体地来说,涉及半导体器件及其形成方法。
背景技术
在深微技术中,接触塞的尺寸持续缩小以适合不断减小的栅极间距。为了缩小接触尺寸而不影响接触电阻,与方形接触塞相比较,采用长接触塞。通过采用长接触塞,可以减小接触塞的宽度,沿着栅极间距方向测量该接触塞的宽度。长接触塞具有更大的长度,沿着栅极布线(栅极长度方向)方向测量该长度。通过使用长接触塞,增加了有源接触尺寸和光刻曝光面积。
长接触塞可以实现高栅极密度和低接触电阻。然而,仍存在问题。例如,在相邻的长接触塞的端部可能发生线端短路和/或线端与线端桥接。这些可能导致接触件与鳍主动开路(active opening)(也被称为接触件短路)或接触件-接触件漏电(由接触件桥接导致的)。为了减少线端短路的可能性,需要更多的限制性的空间规则来增大相邻的接触塞的端部之间的间隔,或者在线端处需要更积极的光学邻近校正(OPC)。然而,这些解决方案会影响集成电路的尺寸。因为3D MOSFET具有非常窄的有源区域,所以这个问题在未来的鳍式MOSFET(3D MOSFET)中变得更严重。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种方法,包括:在静态随机存取存储器(SRAM)单元的一部分上方形成介电层,所述SRAM单元包括:第一上拉晶体管和第二上拉晶体管;第一下拉晶体管和第二下拉晶体管,与所述第一上拉晶体管和所述第二上拉晶体管形成交叉锁存的反相器;和第一传输门晶体管和第二传输门晶体管,分别连接至所述第一上拉晶体管和所述第一下拉晶体管的漏极以及所述第二上拉晶体管和所述第二下拉晶体管的漏极;在所述介电层上方形成并图案化第一掩模层;在所述介电层上方形成第二掩模层;将所述第一掩模层和所述第二掩模层结合起来用作蚀刻掩模来蚀刻所述介电层,在所述介电层中形成接触开口;以及在所述接触开口中形成接触塞。
在该方法中,所述第一掩模层包含选自基本上由基于氧化硅的电介质、氮氧化硅、氮化硅、多晶硅、非晶硅、含碳介电材料、含氮介电材料、有机材料、难熔金属以及它们的组合所组成的组的材料。
在该方法中,所述第二掩模层包含光刻胶,并且所述第二掩模层位于所述第一掩模层上方。
在该方法中,在图案化所述第一掩模层的步骤之后,所述第一掩模层形成其中具有第一长接触开口的连续层,所述第一长接触开口的长度方向平行于所述SRAM单元的长边界,并且所述第一长接触开口的长度大于或者等于所述长边界的长度。
在该方法中,所述连续层中进一步包含第二长接触开口,所述第二长接触开口的长度方向平行于所述SRAM单元的长边界,并且所述第二长接触开口的长度小于所述长边界的长度。
在该方法中,所述第二长接触开口延伸到所述SRAM单元的边界。
在该方法中,所述第二长接触开口未延伸到所述SRAM单元的任何边界。
在该方法中,在图案化所述第一掩模层的步骤之后,所述第一掩模层形成彼此分离的岛状件。
在该方法中,在形成所述接触塞时,同时形成附加接触塞,所述接触塞位于所述第一下拉晶体管的漏极上方并与所述第一下拉晶体管的漏极连接,而所述附加接触塞位于所述第一上拉晶体管的漏极上方并与所述第一上拉晶体管的漏极连接,并且所述接触塞和所述附加接触塞彼此分离。
在该方法中,所述接触塞在所述第一下拉晶体管的漏极和所述第一上拉晶体管的漏极上方延伸并且互连所述第一下拉晶体管的漏极和所述第一上拉晶体管的漏极。
根据本发明的另一方面,提供了一种方法,包括:形成包括多个栅电极和多个有源区域带的静态随机存取存储器(SRAM)单元,所述多个有源区域带与所述多个栅电极形成晶体管;在所述多个栅电极和所述多个有源区域带上方形成层间电介质(ILD);在所述ILD上方形成第一掩模层,所述第一硬掩模层覆盖所述ILD的第一部分,并且通过所述第一掩模层中的开口暴露所述ILD的第二部分;形成第二掩模层,所述第二掩模层包括填充到所述第一掩模层中的部分开口中的部分;使用所述第一掩模层和所述第二掩模层作为蚀刻掩模来蚀刻所述ILD以在所述ILD中形成多个接触开口;以及在所述多个接触开口中形成多个接触塞。
在该方法中,所述第一掩模层中的开口包括:第一开口,与所述SRAM单元的第一边界重叠并且具有与所述SRAM单元的第一边界平行的长度方向;以及第二开口,与所述SRAM单元的第二边界重叠并且具有与所述SRAM单元的第二边界平行的长度方向,其中,所述第一边界和所述第二边界彼此平行,并且所述第一开口和所述第二开口均从所述SRAM单元的第三边界延伸至所述SRAM单元的第四边界,所述第三边界和所述第四边界与所述第一边界和所述第二边界垂直。
在该方法中,所述第二掩模层包含长度方向与所述第一开口的长度方向垂直的带。
在该方法中,所述第一掩模层包含硬掩模材料,而所述第二掩模层包含光刻胶。
在该方法中,形成所述多个接触塞的步骤包括:形成位于所述SRAM单元的下拉晶体管的漏极上方且连接至所述下拉晶体管的漏极的第一接触塞;以及形成位于所述SRAM单元的上拉晶体管的漏极上方且连接至所述上拉晶体管的漏极的第二接触塞,其中,所述方法进一步包括形成位于所述第一接触塞和所述第二接触塞上方并且互连所述第一接触塞和所述第二接触塞的金属连接件。
在该方法中,形成所述多个接触塞的步骤包括形成在所述SRAM单元的下拉晶体管的漏极和所述SRAM单元的上拉晶体管的漏极上方连续延伸且互连所述下拉晶体管的漏极和所述上拉晶体管的漏极的接触塞。
根据本发明的又一方面,提供了一种在非易失性计算机可读介质上实现的静态随机存取存储器(SRAM)单元布局,所述SRAM单元布局包括:多个栅电极的多个第一布局图案;多个鳍线的多个第二布局图案,所述多个第一布局图案和所述多个第二布局图案是以下部件的一部分:交叉锁存的反相器,包含第一上拉晶体管和第二上拉晶体管以及第一下拉晶体管和第二下拉晶体管;和两个传输门晶体管,连接至所述交叉锁存的反相器;第一掩模层的多个第三布局图案;以及第二掩模层的多个第四布局图案,其中,所述多个第三布局图案与所述多个第四布局图案不重叠的部分包含所述SRAM单元的接触塞图案。
在该SRAM单元布局中,所述接触塞图案包括:第一接触塞图案,与所述多个第二布局图案的第一漏极图案对准,所述第一漏极图案是所述第一上拉晶体管的漏极区域的布局图案;以及第二接触塞图案,与所述多个第二布局图案的第二漏极图案对准,所述第二漏极图案是所述第一下拉晶体管的漏极区域的布局图案,并且所述第一接触塞图案与所述第二接触塞图案间隔开。
在该SRAM单元布局中,所述接触塞图案包括:从所述第一上拉晶体管的漏极区域连续延伸到所述第一下拉晶体管的漏极区域的长接触塞图案。
在该SRAM单元布局中,所述多个第三布局图案包含与所述SRAM单元的第一边界重叠并且具有平行于所述第一边界的长度方向的第一开口图案。
在该SRAM单元布局中,所述多个第三布局图案进一步包含与所述SRAM单元的第二边界重叠并且具有平行于所述第二边界的长度方向的第二开口图案,并且所述第一边界和所述第二边界彼此平行。
在该SRAM单元布局中,所述第一开口图案从所述SRAM单元的第三边界延伸到所述SRAM单元的第四边界,并且所述第三边界和所述第四边界与所述第一边界垂直。
在该SRAM单元布局中,所述多个第一布局图案中的一个布局图案的长度不短于所述SRAM单元的相应长度。
附图说明
为了更充分地理解实施例及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1A和图1B是根据示例性实施例的静态随机存取存储器(SRAM)单元的电路图;
图2示出了阐述SRAM单元的层的示意性截面图;
图3A至图10是根据各个实施例的形成SRAM单元的中间阶段的俯视图和截面图;
图11和图12是根据可选实施例的形成SRAM单元的中间阶段的俯视图;
图13示出了根据示例性实施例的SRAM单元,其中,SRAM单元中的一些晶体管是多鳍式FinFET;
图14至图16是根据又一些可选实施例的形成SRAM单元的中间阶段的俯视图;
图17是包含多鳍式FinFET的SRAM单元的俯视图;
图18A和图18B示出了SRAM单元的重复形式;以及
图19至图24是根据又一些可选实施例的形成SRAM单元的中间阶段的俯视图。
具体实施方式
在下面详细讨论本发明的实施例的制造和使用。然而,应该理解,实施例提供了许多可以在各种具体环境中实现的可应用的发明构思。所讨论的具体实施例仅是示例性的而不用于限制本发明的范围。
根据多个示例性实施例提供了静态随机存取存储器(SRAM)单元。讨论了实施例的变型例。在各个视图和示例性实施例中,相同的参考标号用于指定相同的元件。虽然使用六晶体管(6T)SRAM单元作为实例来说明实施例的构思,但是实施例可以很容易地应用于具有不同数量的晶体管的其它SRAM单元,诸如8TSRAM单元。
图1A示出了根据一些实施例的SRAM单元10的电路图。SRAM单元10包括传输门晶体管PG-1和PG-2和上拉晶体管PU-1和PU-2(其是P型金属氧化物半导体(PMOS)晶体管)以及下拉晶体管PD-1和PD-2(其是N型金属氧化物半导体(NMOS)晶体管)。传输门晶体管PG-1和PG-2的栅极连接至确定是否选择SRAM单元10的字线WL。由上拉晶体管PU-1和PU-2以及下拉晶体管PD-1和PD-2所形成的锁存器存储比特,其中,将比特的互补值存储在数据节点110和数据节点112中。通过位线BL和BLB可以将存储的比特写入SRAM单元10中或者从SRAM单元10中读取该存储的比特。
上拉晶体管PU-1和PU-2的源极连接至具有正电源电压(和线)Vdd的电压节点Vdd。下拉晶体管PD-1和PD-2的源极连接至电源电压(和线)Vss,该电源电压节点Vss进一步连接至电源电压/线Vss(例如,电接地)。晶体管PU-1和PD-1的栅极连接至晶体管PU-2和PD-2的漏极,该连接节点是数据节点110。晶体管PU-2和PD-2的栅极连接至晶体管PU-1和PD-1的漏极,该连接节点是数据节点112。传输门晶体管PG-1的源极/漏极区域连接至位线BL。传输门晶体管PG-2的源极/漏极区域连接至位线BLB。
图1B示出SRAM单元10的可选电路图,其中,将图1A中的晶体管PU-1和PD-1表示为第一反相器Inverter-1,并将晶体管PU-2和PD-2表示为第二反相器Inverter-2。第一反相器Inverter-1的输出端连接至晶体管PG-1和第二反相器Inverter-2的输入端。第二反相器Inverter-2的输出端连接至晶体管PG-2和第二反相器Inverter-2的输入端。
图2示出了SRAM单元10的示意性截面图,其中,各层形成在半导体芯片或晶圆上。注意到,图2示意性地示出了互连结构和晶体管的多层,并且可能不反映SRAM单元10的实际截面图。互连结构包括栅极接触层;OD(其中,术语“OD”表示“有源区”)层;通孔层Via_0、Via_1和Via_2;以及金属层M1、M2和M3。每一层都包括一个或多个介电层以及形成在其中的导电部件。位于同一层处的导电部件可以具有彼此基本上齐平的顶面、彼此基本上齐平的底面,并且可以同时被形成。栅极接触层中的部件将晶体管(诸如所示的示例性晶体管PU-1和PU-2)的栅电极连接至上覆层,诸如Via_0层。OD层中的部件将晶体管的源极和漏极区域和阱区的拾取区等连接至上覆层,诸如Via_0层。
图3示出根据示例性实施例的SRAM单元10的布局。在一些实施例中,布局具有图形数据库系统(GDS)格式并且可以在诸如硬盘的有形的非易失性存储介质上实现。通过计算机存取和处理该布局。而且,如在整个说明书中示出的布局和加工步骤中的图案可以形成在具有透明和不透明图案的光刻掩模上,该光刻掩模用于暴露的光刻胶,使得形成如在整个说明书中示出的部件,以具有所示的图案(形状)。因此,本发明中的布局也可以表示结合了在相应布局所示的图案的光刻掩模。例如,图7A中的图案56和60也是布局中的布局图案。而且,图3A还表示在半导体晶圆上形成的电路结构的俯视图。在图3A至图10中,根据一些示例性实施例讨论用于形成SRAM单元10的工艺步骤。
参照图3A,使用形成矩形的虚线10A、10B、10C和10D示出SRAM单元10的外部边界。在两个p阱区域12之间形成N阱区域11。栅电极16与下面的有源区域20形成上拉晶体管PU-1,该上拉晶体管PU-1可以是n阱区域11的一部分并且可以是基于鳍的。栅电极16进一步与下面的有源区域14形成下拉晶体管PD-1,该下拉晶体管PD-1可以是p阱区域12的一部分。栅电极18与下面的有源区域14形成传输门晶体管PG-1。栅电极36与下面的有源区域40形成上拉晶体管PU-2,该上拉晶体管PU-2可以是n阱区域11的一部分。栅电极36进一步与下面的有源区域34形成下拉晶体管PD-2,该下拉晶体管PD-2可以是p阱区域12的一部分。栅电极38与下面的有源区域34形成传输门晶体管PG-2。根据一些实施例,有源区域14、20、34和40可以是半导体鳍(其为长带)。根据一些实施例,晶体管PG-1和PG-2、PU-1和PU-2以及PD-1和PD-2可以是鳍式场效应晶体管(FinFET)。
在晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2上方,形成一层或多个介电层50。在图3B和图3C中也示出了介电层50,图3B和图3C分别是通过图3A中的平面剖切线B-B和C-C所截取的截面图。参照图3B和3C,在一些实施例中,(一层或多层)介电层50包括层间介电(ILD)层50,形成该层间介电(ILD)层50以覆盖晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2(图3A)的源极和漏极区域。该源极和漏极区域可以是图3A中的有源区域14、20、34和40的鳍部分。而且,在图2中示出ILD层50,其中,示意性示出了晶体管XORT1和XORT2以表示晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2。也如图3B和图3C所示,在ILD50上方形成作为覆盖层的硬掩模层52。
如图3B和图3C所示,在半导体衬底48(其可以是硅衬底)上方形成介电层50,但是在衬底48中可以包含其它半导体材料,诸如硅锗、硅碳、III-V族化合物半导体材料等。图3B示出在半导体衬底48中形成的诸如浅沟槽隔离(STI)区域49的绝缘区域。在图3C中,示出了栅叠层51,其中,栅叠层51表示晶体管PG-2和PD-2(图3A)的栅叠层。在栅叠层51的侧壁上形成栅极间隔件53。ILD50由介电材料形成,该介电材料可以是介电常数(k值)小于约3.0的低k介电材料,但是也可以使用具有更高k值的介电材料。例如,介电层50可以包含基于氧化硅的介电材料,诸如磷硅酸盐玻璃(PSG)、硼硅酸盐(BSG)、掺硼磷硅酸盐玻璃(BPSG)、原硅酸四乙酯(TEOS)氧化物等。介电层50也可以包含选自氮氧化硅、氮化硅、含碳的电介质和含氮的电介质的材料。
在介电层50上方形成硬掩模层52,并且硬掩模层52与ILD50相比由具有足够的蚀刻选择性的材料形成,从而在后续工艺步骤中,可以图案化并去除硬掩模层52而不对介电层50造成明显的损害。在一些示例性实施例中,硬掩模层52包含选自基于氧化硅的电介质、氮氧化硅、氮化硅、多晶硅、非晶硅、含碳的电介质、含氮的电介质、有机材料、难熔金属及它们的组合的材料。
在硬掩模层52上方,形成并图案化光刻胶54(其在图3C中示出)以在其中形成长开口56。光刻胶54的形成可以包括印刷或旋涂。光刻胶54的图案化可以包括使用波长等于例如193nm的光(ArF光)、电子束或远紫外线(EUV)光进行曝光。图4示出在其中包括多个长开口56的图案化的光刻胶54的俯视图。示出了单元边界10A、10B、10C和10D以表明示例性开口56的位置相对于单元边界10A、10B、10C和10D的位置。在一些实施例中,光刻胶开口56与长边界10A和10B平行,并且从短边界10C一直延伸到短边界10D,其中,短边界10C和10D短于长边界10A和10B。光刻胶开口56可以彼此平行。而且,一些光刻胶开口56可以与单元边界10A和10B重叠。
图5是包括图案化的光刻胶54和下面的晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2的示图。图4和图5表明,根据一些示例性实施例,除了形成光刻胶开口56以暴露出下面的部件,还形成图案化的光刻胶54作为覆盖层。
接下来,使用光刻胶54作为蚀刻掩模对硬掩模层52实施蚀刻步骤,使得如图6A、图6B和图6C所示,在硬掩模层52中形成开口58。除了现已形成开口58,图6A的俯视图类似于图5的俯视图。开口58与光刻胶开口56对准。图6B和图6C分别是通过图6A中的平面剖切线B-B和C-C所截取的截面图。图6B示出光刻胶开口56的平面,并因此在该平面中未示出光刻胶54。在图6C中,示出与上面的光刻胶开口56对准的三个开口58。如图6A所示,介电层50的一些部分与半导体鳍14、20、34和40的一些源极/漏极部分重叠,此外如图6C所示,介电层50的这些部分通过开口56和58暴露出来。
参照图7A、图7B和图7C,去除光刻胶54,在如图6C所示的生成的结构上方形成阻挡层60(包括60A和60B),然后对其进行图案化。图7B和图7C分别是由图7A中的平面剖切线B-B和C-C所截取的截面图。根据一些示例性实施例,阻挡层60包含光刻胶,但阻挡层60也可以由与下面的硬掩模层52和介电层50(图7B和图7C)的材料不同的其它材料形成。根据一些实施例,阻挡层60包括阻挡层部分60A,该阻挡层部分可以是长度方向(longitudinal direction)与开口58的长度方向垂直的带。此外,可以形成小于部分60A的阻挡层部分60B。部分60B是用于阻止所生成的接触塞桥接的定制部分。
如图7A、图7B和图7C所示,在介电层50上方存在两种掩模层。根据一些示例性实施例,第一掩模层可以是图案化的硬掩模层52,而第二掩模层可以是图案化的阻挡层60。第一掩模层和第二掩模层的每一个均覆盖(阻挡)介电层50的一些部分并保持一些其它部分未被覆盖。第一掩模层和第二掩模层结合起来保持介电层50的部分50A(图7A、图7B和图7C)未被覆盖。覆盖介电层50的其余部分。
图7A、图7B和图7C中的第一掩模层52和第二掩模层60结合起来用作蚀刻掩模来蚀刻介电层50的暴露部分50A。在蚀刻步骤之后,去除阻挡层60和硬掩模层52。图8A、图8B和图8C示出所生成的结构。图8B和图8C分别是通过图8A中的平面剖切线B-B和C-C所截取的截面图。作为蚀刻的结果在介电层50中形成接触件开口(contact opening)62。如图8A所示,通过接触件开口62暴露鳍14、20、34和40的源极/漏极部分。
参照图9A、图9B和图9C,用导体材料填充图8A至图8C中的接触件开口62以形成接触塞64,该接触塞64连接至晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2的源极和漏极区域。图9B和图9C分别是通过图9A中的平面剖切线B-B和C-C所截取的截面图。接触塞64可以包含铜、钛、钽、钨、铝、或它们的合金。接触塞64可以对应于图2中的OD层接触塞。
接触塞64是分立的接触塞。参照图10,接触塞64包括接触塞64A1、64A2、64B1和64B2,其位于晶体管PD-1、PU-1、PU-2和PD-2的漏极区域上方并且与晶体管PD-1、PU-1、PU-2和PD-2的漏极区域连接。可以在接触塞64的上方形成诸如接触塞、金属线、通孔或它们的组合的其它金属连接件66(包括66A和66B),并且这些金属连接件66可以进一步互连接触塞64。例如,金属连接件66A位于接触塞64A1和64A2上方并且互连接触塞64A1和64A2。金属连接件66B位于接触塞64B1和64B2上方并且互连接触塞64B1和64B2。
图11和图12示出根据可选实施例的形成SRAM单元10的中间阶段的俯视图。除非另有说明,否则在这些实施例中(以及在图13至图17的实施例中)的部件的材料和形成方法与在图1至图10所示的实施例中用相同参考编号表示的相同元件基本上相同。因此,关于图11和图12所示的部件的形成工艺和材料的具体细节可以在图1至图10所示的实施例的论述中找到。
参照图11,形成晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2,并且在其上方形成(一层或多层)介电层50,其中介电层50的截面图与图3B和图3C所示的基本相同。接下来,在介电层50上方形成阻挡层60’并对阻挡层60’进行图案化。可以使用选自与图3A至图3C中的硬掩模层52相同的候选材料组的材料来形成阻挡层60’。阻挡层60’可以具有与图7A中的阻挡层60相同的布局并覆盖SRAM单元10与图7A中的阻挡层60相同部分。
接下来,如图12所示,在图11的结构上方形成掩模层52’。图案化掩模层52’以形成开口58,除了开口58现位于掩模层52’中,该开口58可以具有与图4中的开口56相似的形状、尺寸和位置。例如,开口58可以具有等于SRAM单元10的长边界10A和10B的长度并且可以与SRAM单元10的长边界10A和10B重叠。可以使用选自与图7A至图7C中的层60相同的候选材料组的材料来形成掩模层52’。阻挡层60’和掩模层52’结合起来覆盖(阻挡)介电层50的一些部分,并且保留介电层50的部分50A未被覆盖。
接下来,阻挡层60’和掩模层52’结合起来用作蚀刻掩模来蚀刻下面的介电层50(也参见图8A至图8C),以形成接触件开口62。然后,去除阻挡层60’和掩模层52’。所生成的结构与图8A至图8C中的结构相同。在随后的步骤中,实施图9A、图9B、图9C和图10的工艺来完成SRAM单元10的形成。
图13示出了根据可选实施例的SRAM单元10的俯视图。除了与图10中的单鳍晶体管PG-1、PD-1、PG-2和PD-2相比,晶体管PG-1、PD-1、PG-2和PD-2是多鳍晶体管,这些实施例类似于图10中的实施例。虽然示出了晶体管PG-1、PD-1、PG-2和PD-2中的每一个都包含两个鳍,但是它们可以包含多于两个鳍,诸如三个鳍、四个鳍或更多个鳍。形成工艺可以与图3至图12所示相同,并因此在此不再论述。在图13中,示出掩模层图案60以表明它们的位置相对于晶体管的位置,但是在形成接触塞64时,已经去除了掩模层图案60。
图14至图16示出了根据可选实施例的形成SRAM单元10的中间阶段的俯视图。参照图14,形成晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2,以及形成(一层或多层)介电层50和掩模层52”,其中,图14中的结构的截面图与图3B和图3C的截面图基本相同。接下来,如图15所示,在介电层50和掩模层52”上方形成掩模层60”并对其进行图案化。根据一些实施例,如图14和图16所示,首先形成其中包括开口58的掩模层52”,然后在掩模层52”上方形成掩模层60”。相应的工艺可以与图3A至图10所示的工艺基本相同,其中,掩模层52”的形成对应于硬掩模层52的形成,以及掩模层60”的形成对应于阻挡层60的形成。在可选实施例中,首先形成掩模层60”,然后在掩模层60”上方形成掩模层52”。相应的工艺可以与图11、图12和图9A至图10所示的工艺基本相同,其中,掩模层60”的形成对应于阻挡层60’的形成,以及掩模层52”的形成对应于掩模层52’的形成。可以观察到,在图15中,掩模层60”形成岛状件(islands)(而不是长带)并具有与掩模层60(图7A)和60’(图12)的图案不同的图案。结果,蚀刻部分50A(其是介电层50的未被掩模层52”和60”覆盖的部分)以在介电层50中形成开口。
在随后的步骤中,如图16所示,填充由于蚀刻介电层50而生成的开口以形成接触塞64。接触塞64包括是长接触件的64C和64D。接触塞64C互连晶体管PD-1和PU-1的漏极区域以形成数据节点110(也参照图1)的一部分。接触塞64D互连晶体管PD-2和PU-2的漏极区域以形成数据节点112(也参照图1)的一部分。换句话说,接触塞64C执行与图10中的接触塞64A1、64A2和金属连接件66A相同的功能,而接触塞64D执行与图10中的接触塞64B1、64B2和金属连接件66B相同的功能。因此,虽然掩模层60”比图7A中的掩模层60和图11中的掩模层60’具有更复杂的布局,但是当采用图14至图16中的实施例时,可以省略金属连接件66A和66B的形成。
图17示出根据可选实施例的SRAM单元10的俯视图。除了与图16中的单鳍晶体管PG-1、PD-1、PG-2和PD-2相比,晶体管PG-1、PD-1、PG-2和PD-2是多鳍晶体管,这些实施例与图16中的实施例相似。虽然示出了晶体管PG-1、PD-1、PG-2和PD-2包含两个鳍,但是它们可以包含两个以上的鳍,诸如三个鳍、四个鳍或更多个鳍。形成工艺可以与图14至图16所示的工艺相同,因此在此不再论述。
在上述实施例中,示出并论述了单个SRAM单元来说明实施例。可以理解,SRAM阵列可以包含多个SRAM单元。为了形成SRAM阵列,可以使用如图18A和图18B的方案。图18B中的SRAM单元10-11、10-12、10-21和10-22具有完全相同的结构,并且可以具有图10、图13、图16、图17等中的任何结构。SRAM单元10-11、10-12、10-21和10-22设置在第一行、第二行、第一列和第二列中。在SRAM单元10-11、10-12、10-21和10-22中的每一个单元中都示出的符号“F”以表示该SRAM单元的定向。可以理解符号“F”仅用于表明SRAM单元的方向并且不是相应的SRAM单元的一部分。SRAM单元10-11和SRAM单元10-12相对于所示的Y轴是对称的。SRAM单元10-21和SRAM单元10-22相对于所示的Y轴是对称的。SRAM单元10-11和SRAM单元10-21相对于所示的X轴是对称的。SRAM单元10-12和SRAM单元10-22相对于所示的X轴是对称的。可以按照多个行和列来复制和分配SRAM单元10-11、10-12、10-21和10-22(作为一组)以形成SRAM阵列。
图19至图21示出了根据可选实施例的形成SRAM单元10的中间阶段的俯视图。除了开口58包括开口58A,该开口58A没有从SRAM单元10的一个边界一直延伸到相对边界,这些实施例类似于图14至图16中的实施例。开口58还包括从SRAM单元10的一个边界延伸到相对边界的开口58B。在这些实施例中,开口58A彼此分开并且可以对准成一条直线。开口58A也延伸到SRAM单元10的短边界并因此与相邻的SRAM单元10(未示出,请参照图18)中的开口58A(未示出)连接。在下文论述简单的工艺。
参照图19,形成晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2,以及形成(一层或多层)介电层50和掩模层52”,其中,图19中的结构的截面图与图3B和图3C的截面图基本相同。接下来,如图20所示,在介电层50和掩模层52”上方形成掩模层60”并对其进行图案化。根据一些实施例,如图19和图20所示,首先形成其中包括开口58的掩模层52”,接下来在掩模层52”上方形成掩模层60”。相应的工艺可以与图3A至图10所示的工艺基本相同,其中,掩模层52”的形成对应于硬掩模层52的形成,以及掩模层60”的形成对应于阻挡层60的形成。在可选实施例中,首先形成掩模层60”,然后,在掩模层60”上方形成掩模层52”。相应的工艺可以与图11、图12和图9A至图10所示的工艺基本相同,其中,掩模层60”的形成对应于阻挡层60’的形成,以及掩模层52”的形成对应于掩模层52’的形成。掩模层52”和掩模层60”结合起来保持介电层50的部分50A未被覆盖。可以观察到,当与图15相比时,在图20中,在SRAM单元10的中间具有小的掩模图案60”。因为在一些实施例中难以在SRAM单元10的中间形成小的掩模图案60”(图15),所以首先形成断开的开口58A降低工艺难度。
在随后的步骤中,如图21所示,蚀刻介电层50以形成开口,然后填充该开口以形成接触塞64。还如图20和图21所示,开口58A与掩模层60”结合起来形成缩短的接触塞64C和64D。而且,开口58B与掩模层60”结合起来也形成缩短的接触塞。接触塞64C互连晶体管PD-1和PU-1的漏极区域以形成数据节点110(参照图1)的一部分。接触塞64D互连晶体管PD-2和PU-2的漏极区域以形成数据节点112(参照图1)的一部分。换句话说,接触塞64C执行与图10中的接触塞64A1、64A2和金属连接件66A相同的功能,而接触塞64D执行与图10中的接触塞64B1、64B2和金属连接件66B相同的功能。因此,虽然掩模层60”比图7A中的掩模层60具有更复杂的布局,但是当采用图19至图21中的实施例时,可以省略图10中的金属连接件66A和66B的形成。
图22至图24示出了根据可选实施例的形成SRAM单元10的中间阶段的俯视图。除了开口58包括未延伸到SRAM单元10的任何边界的开口58A,这些实施例类似于图19至图21中的实施例。开口58A彼此分开并且可以对准成一条直线。开口58A也未延伸到SRAM单元10的任何一个边界并因此与相邻的SRAM单元(未示出,请参照图18)中的开口58A(未示出)断开。在下文论述简单的工艺。
参照图22,形成晶体管PU-1、PU-2、PD-1、PD-2、PG-1和PG-2,以及形成(一层或多层)介电层50和掩模层52”,其中,开口58(包括58A和58B)形成在掩模层52”中。图22中的结构的截面图与图3B和图3C的截面图基本相同。接下来,如图23所示,在介电层50上方形成掩模层60”并对其进行图案化。根据一些实施例,如图22和图23所示,首先形成其中包括开口58的掩模层52”,然后在掩模层52”上方形成掩模层60”。图23示出相应的掩模层60”。可以观察到,因为开口58A最初(形成时)与SRAM单元10的短边界间隔开,所以掩模层60”不需要覆盖开口58A。在可选实施例中,首先形成掩模层60”,然后,在掩模层60”上方形成掩模层52”。相应的工艺可以与图11、图12和图9A至图10所示的工艺基本相同,其中,掩模层60”的形成对应于阻挡层60’的形成,以及掩模层52”的形成对应于掩模层52’的形成。图23和图24中的其余步骤分别与图20和图21中的基本相同,因而在此不再重复。
在实施例中,通过形成两个掩模层(例如,一个硬掩模层和一个阻挡层),减轻了由于光刻工艺所带来的限制。例如,参照图16,接触塞64C的线端67B面对接触塞64D的线端67B,其中,线端67A和67B彼此靠近并具有非常小的间隔S1,间隔S1可以介于约20nm和约50nm之间。如果使用常规的光刻方法形成,则如此小的间隔S1可能会导致线端短路或线端与线端桥接。当使用FinFET形成SRAM单元时,由于FinFET的窄有源区域需要长接触件,这个问题将进一步恶化。然而,根据本发明的实施例,为了形成接触塞,形成宽和/或长的掩模层图案,而不是常规的窄和短的图案。因而减少了线端短路或线端与线端桥接问题。
根据一些实施例,一种方法包括在SRAM单元的一部分上方形成介电层。SRAM单元包括第一上拉晶体管和第二上拉晶体管、与第一上拉晶体管和第二上拉晶体管形成交叉锁存的反相器(cross-latched inverter)的第一下拉晶体管和第二下拉晶体管、以及分别连接至第一上拉晶体管和第一下拉晶体管的漏极和第二上拉晶体管和第二下拉晶体管的漏极的第一传输门晶体管和第二传输门晶体管。在介电层上方形成第一掩模层,并对第一掩模层进行图案化。在介电层上方形成第二掩模层,并对第二掩模层进行图案化。将第一掩模层和第二掩模层结合起来用作蚀刻掩模来蚀刻介电层,其中在介电层中形成接触件开口。在接触件开口中形成接触塞。
根据其它实施例,一种方法包括形成包括多个栅电极和多个有源区域带的SRAM单元,其中,多个有源区域带与多个栅电极形成晶体管。该方法进一步包括在多个栅电极和多个有源区域带上方形成ILD以及在ILD上方形成第一掩模层。第一掩模层覆盖ILD的第一部分,并且ILD的第二部分通过第一掩模层中的开口暴露出来。形成第二掩模层使其一部分填充到位于第一掩模层中的开口的一部分中。使用第一掩模层和第二掩模层作为蚀刻掩模来蚀刻ILD,以在ILD中形成多个接触件开口。在多个接触件开口中形成多个接触塞。
根据又一些实施例,在非易失性计算机可读介质上实现SRAM单元布局。SRAM单元布局包括多个栅电极的多个第一布局图案和多条鳍线的多个第二布局图案。多个第一布局图案和多个第二布局图案是包括第一上拉晶体管和第二上拉晶体管以及第一下拉晶体管和第二下拉晶体管的交叉锁存的反相器的一部分和连接至交叉锁存的反相器的两个传输门晶体管的一部分。SRAM单元布局进一步包括第一掩模层的多个第三布局图案和第二掩模层的多个第四布局图案,其中,多个第三布局图案与多个第四布局图案不重叠的部分包含SRAM单元的接触塞图案。
尽管已经详细地描述了实施例及其优势,但应该理解,可以在不背离所附权利要求限定的实施例的精神和范围的情况下,进行各种改变、替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明应很容易理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。此外,每条权利要求都构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。
Claims (10)
1.一种方法,包括:
在静态随机存取存储器(SRAM)单元的一部分上方形成介电层,所述SRAM单元包括:
第一上拉晶体管和第二上拉晶体管;
第一下拉晶体管和第二下拉晶体管,与所述第一上拉晶体管和所述第二上拉晶体管形成交叉锁存的反相器;和
第一传输门晶体管和第二传输门晶体管,分别连接至所述第一上拉晶体管和所述第一下拉晶体管的漏极以及所述第二上拉晶体管和所述第二下拉晶体管的漏极;
在所述介电层上方形成并图案化第一掩模层;
在所述介电层上方形成第二掩模层;
将所述第一掩模层和所述第二掩模层结合起来用作蚀刻掩模来蚀刻所述介电层,在所述介电层中形成接触开口;以及
在所述接触开口中形成接触塞。
2.根据权利要求1所述的方法,其中,所述第一掩模层包含选自基本上由基于氧化硅的电介质、氮氧化硅、氮化硅、多晶硅、非晶硅、含碳介电材料、含氮介电材料、有机材料、难熔金属以及它们的组合所组成的组的材料。
3.根据权利要求2所述的方法,其中,所述第二掩模层包含光刻胶,并且所述第二掩模层位于所述第一掩模层上方。
4.根据权利要求1所述的方法,其中,在图案化所述第一掩模层的步骤之后,所述第一掩模层形成其中具有第一长接触开口的连续层,所述第一长接触开口的长度方向平行于所述SRAM单元的长边界,并且所述第一长接触开口的长度大于或者等于所述长边界的长度。
5.根据权利要求4所述的方法,其中,所述连续层中进一步包含第二长接触开口,所述第二长接触开口的长度方向平行于所述SRAM单元的长边界,并且所述第二长接触开口的长度小于所述长边界的长度。
6.根据权利要求5所述的方法,其中,所述第二长接触开口延伸到所述SRAM单元的边界。
7.根据权利要求5所述的方法,其中,所述第二长接触开口未延伸到所述SRAM单元的任何边界。
8.根据权利要求1所述的方法,其中,在图案化所述第一掩模层的步骤之后,所述第一掩模层形成彼此分离的岛状件。
9.一种方法,包括:
形成包括多个栅电极和多个有源区域带的静态随机存取存储器(SRAM)单元,所述多个有源区域带与所述多个栅电极形成晶体管;
在所述多个栅电极和所述多个有源区域带上方形成层间电介质(ILD);
在所述ILD上方形成第一掩模层,所述第一硬掩模层覆盖所述ILD的第一部分,并且通过所述第一掩模层中的开口暴露所述ILD的第二部分;
形成第二掩模层,所述第二掩模层包括填充到所述第一掩模层中的部分开口中的部分;
使用所述第一掩模层和所述第二掩模层作为蚀刻掩模来蚀刻所述ILD以在所述ILD中形成多个接触开口;以及
在所述多个接触开口中形成多个接触塞。
10.一种在非易失性计算机可读介质上实现的静态随机存取存储器(SRAM)单元布局,所述SRAM单元布局包括:
多个栅电极的多个第一布局图案;
多个鳍线的多个第二布局图案,所述多个第一布局图案和所述多个第二布局图案是以下部件的一部分:
交叉锁存的反相器,包含第一上拉晶体管和第二上拉晶体管以及第一下拉晶体管和第二下拉晶体管;和
两个传输门晶体管,连接至所述交叉锁存的反相器;
第一掩模层的多个第三布局图案;以及
第二掩模层的多个第四布局图案,其中,所述多个第三布局图案与所述多个第四布局图案不重叠的部分包含所述SRAM单元的接触塞图案。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/691,367 US9236300B2 (en) | 2012-11-30 | 2012-11-30 | Contact plugs in SRAM cells and the method of forming the same |
US13/691,367 | 2012-11-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103855097A true CN103855097A (zh) | 2014-06-11 |
CN103855097B CN103855097B (zh) | 2016-06-08 |
Family
ID=50824632
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310165080.1A Active CN103855097B (zh) | 2012-11-30 | 2013-05-07 | Sram单元中的接触塞及其形成方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9236300B2 (zh) |
KR (1) | KR101553438B1 (zh) |
CN (1) | CN103855097B (zh) |
TW (1) | TWI518533B (zh) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105514044A (zh) * | 2014-09-26 | 2016-04-20 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN105990444A (zh) * | 2015-03-20 | 2016-10-05 | 三星电子株式会社 | 包括有源鳍的半导体器件 |
CN109216455A (zh) * | 2017-06-29 | 2019-01-15 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN109427688A (zh) * | 2017-08-31 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 静态随机存取存储(sram)器件及其相关的制造方法和系统 |
CN109872967A (zh) * | 2017-12-05 | 2019-06-11 | 三星电子株式会社 | 制造半导体装置的方法 |
CN112420701A (zh) * | 2019-08-23 | 2021-02-26 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN113053884A (zh) * | 2020-04-15 | 2021-06-29 | 台湾积体电路制造股份有限公司 | 半导体结构及其形成方法 |
Families Citing this family (754)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9773696B2 (en) | 2014-01-24 | 2017-09-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US10177133B2 (en) | 2014-05-16 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including source/drain contact having height below gate stack |
US9202820B1 (en) * | 2014-05-28 | 2015-12-01 | Pdf Solutions, Inc | Flip-flop, latch, and mux cells for use in a standard cell library and integrated circuits made therefrom |
US9966471B2 (en) | 2014-06-27 | 2018-05-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked Gate-All-Around FinFET and method forming the same |
US9608116B2 (en) | 2014-06-27 | 2017-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFETs with wrap-around silicide and method forming the same |
US9418728B2 (en) * | 2014-07-24 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dual-port static random-access memory cell |
US9614088B2 (en) | 2014-08-20 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate structure and manufacturing method thereof |
US9437484B2 (en) | 2014-10-17 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch stop layer in integrated circuits |
US9418896B2 (en) * | 2014-11-12 | 2016-08-16 | Samsung Electronics Co., Ltd. | Semiconductor device and fabricating method thereof |
US9508858B2 (en) | 2014-11-18 | 2016-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contacts for highly scaled transistors |
US9466494B2 (en) | 2014-11-18 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective growth for high-aspect ration metal fill |
CN105719688B (zh) * | 2014-12-04 | 2019-03-29 | 中芯国际集成电路制造(上海)有限公司 | Sram存储器和形成sram存储器的方法 |
US9412817B2 (en) | 2014-12-19 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide regions in vertical gate all around (VGAA) devices and methods of forming same |
US9613850B2 (en) | 2014-12-19 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique for feature cut by line-end shrink |
US9876114B2 (en) | 2014-12-30 | 2018-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D FinFET metal gate |
US9859115B2 (en) | 2015-02-13 | 2018-01-02 | National Taiwan University | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US9673112B2 (en) | 2015-02-13 | 2017-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor fabrication with height control through active region profile |
US9741452B2 (en) | 2015-02-23 | 2017-08-22 | Qualcomm Incorporated | Read-assist circuits for memory bit cells employing a P-type field-effect transistor (PFET) read port(s), and related memory systems and methods |
US10026456B2 (en) | 2015-02-23 | 2018-07-17 | Qualcomm Incorporated | Bitline positive boost write-assist circuits for memory bit cells employing a P-type Field-Effect transistor (PFET) write port(s), and related systems and methods |
US9502502B2 (en) | 2015-03-16 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9698048B2 (en) | 2015-03-27 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device |
KR102316119B1 (ko) * | 2015-04-02 | 2021-10-21 | 삼성전자주식회사 | 반도체 장치 |
US9768261B2 (en) | 2015-04-17 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
KR102400375B1 (ko) * | 2015-04-30 | 2022-05-20 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9576796B2 (en) | 2015-05-15 | 2017-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9741829B2 (en) | 2015-05-15 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9761683B2 (en) | 2015-05-15 | 2017-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10062779B2 (en) | 2015-05-22 | 2018-08-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
KR102449901B1 (ko) * | 2015-06-23 | 2022-09-30 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9685368B2 (en) | 2015-06-26 | 2017-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure having an etch stop layer over conductive lines |
US10403744B2 (en) | 2015-06-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US11424399B2 (en) | 2015-07-07 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated thermoelectric devices in Fin FET technology |
US9418886B1 (en) | 2015-07-24 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming conductive features |
US9536980B1 (en) | 2015-07-28 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacers and methods of forming same |
US9564363B1 (en) | 2015-08-19 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming butted contact |
US9698100B2 (en) | 2015-08-19 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for interconnection |
US9721887B2 (en) | 2015-08-19 | 2017-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Method of forming metal interconnection |
US9831090B2 (en) | 2015-08-19 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor device having gate spacer protection layer |
US9728402B2 (en) | 2015-08-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Flowable films and methods of forming flowable films |
US9786602B2 (en) | 2015-08-21 | 2017-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of fabrication the same |
US9490136B1 (en) | 2015-08-31 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trench cut |
US9613856B1 (en) | 2015-09-18 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9972529B2 (en) | 2015-09-28 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US10163797B2 (en) | 2015-10-09 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming interlayer dielectric material by spin-on metal oxide deposition |
US9735052B2 (en) | 2015-10-12 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal lines for interconnect structure and method of manufacturing same |
US9711533B2 (en) | 2015-10-16 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof |
US9659864B2 (en) | 2015-10-20 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for forming self-aligned via with selectively deposited etching stop layer |
US9647116B1 (en) | 2015-10-28 | 2017-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating self-aligned contact in a semiconductor device |
US9627531B1 (en) | 2015-10-30 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field-effect transistor with dual vertical gates |
US9818690B2 (en) | 2015-10-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned interconnection structure and method |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US10164051B2 (en) | 2015-11-16 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9899387B2 (en) | 2015-11-16 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9633999B1 (en) | 2015-11-16 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor mid-end-of-line (MEOL) process |
US10340348B2 (en) | 2015-11-30 | 2019-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing finFETs with self-align contacts |
US9773879B2 (en) | 2015-11-30 | 2017-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9873943B2 (en) | 2015-12-15 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for spatial atomic layer deposition |
US9954081B2 (en) | 2015-12-15 | 2018-04-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US10163719B2 (en) | 2015-12-15 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming self-alignment contact |
US9728501B2 (en) | 2015-12-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
DE102016116026B4 (de) | 2015-12-29 | 2024-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung und Herstellungsverfahren |
US10163704B2 (en) | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9887128B2 (en) | 2015-12-29 | 2018-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for interconnection |
US9899269B2 (en) | 2015-12-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd | Multi-gate device and method of fabrication thereof |
US11088030B2 (en) | 2015-12-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9614086B1 (en) | 2015-12-30 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conformal source and drain contacts for multi-gate field effect transistors |
US10115796B2 (en) | 2016-01-07 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of pulling-back sidewall metal layer |
US10811262B2 (en) | 2016-01-14 | 2020-10-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof |
US9881872B2 (en) | 2016-01-15 | 2018-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating a local interconnect in a semiconductor device |
US10727094B2 (en) | 2016-01-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Thermal reflector device for semiconductor fabrication tool |
US10283605B2 (en) | 2016-01-29 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd | Self-aligned metal gate etch back process and device |
US10163912B2 (en) | 2016-01-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for semiconductor device fabrication with improved source drain proximity |
US9722081B1 (en) | 2016-01-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device and method of forming the same |
US9812451B2 (en) | 2016-02-03 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd | Field effect transistor contact with reduced contact resistance |
US9847330B2 (en) | 2016-02-05 | 2017-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US9768170B2 (en) | 2016-02-05 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
US9543161B1 (en) | 2016-02-10 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of planarizating film |
US9947756B2 (en) | 2016-02-18 | 2018-04-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US9754822B1 (en) | 2016-03-02 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method |
US9570556B1 (en) | 2016-03-03 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9755019B1 (en) | 2016-03-03 | 2017-09-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10056407B2 (en) | 2016-03-04 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10109627B2 (en) | 2016-03-08 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric |
US9711402B1 (en) | 2016-03-08 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact metal |
US9911611B2 (en) | 2016-03-17 | 2018-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming openings in a material layer |
US9779984B1 (en) | 2016-03-25 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming trenches with different depths |
DE102016114724B4 (de) | 2016-03-25 | 2021-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US9847477B2 (en) | 2016-04-12 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a bottom electrode of a magnetoresistive random access memory cell |
US9805951B1 (en) | 2016-04-15 | 2017-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of integration process for metal CMP |
US9893062B2 (en) | 2016-04-28 | 2018-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10475847B2 (en) | 2016-04-28 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having stress-neutralized film stack and method of fabricating same |
US9691775B1 (en) * | 2016-04-28 | 2017-06-27 | Globalfoundries Inc. | Combined SADP fins for semiconductor devices and methods of making the same |
US9899266B2 (en) | 2016-05-02 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US11127629B2 (en) | 2016-05-17 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and fabricating method thereof |
US9917085B2 (en) | 2016-05-31 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate isolation structure and method forming same |
US10276662B2 (en) | 2016-05-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming contact trench |
US9941386B2 (en) | 2016-06-01 | 2018-04-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with fin structure and method for forming the same |
US10109467B2 (en) | 2016-06-01 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Advanced exhaust system |
US9627258B1 (en) | 2016-06-15 | 2017-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact |
US10164032B2 (en) | 2016-06-17 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
US10515822B2 (en) | 2016-06-20 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing bottom layer wrinkling in a semiconductor device |
US10008414B2 (en) | 2016-06-28 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for widening Fin widths for small pitch FinFET devices |
US10685873B2 (en) | 2016-06-29 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer for semiconductor devices |
US9768064B1 (en) | 2016-07-14 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US9640540B1 (en) | 2016-07-19 | 2017-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an SRAM circuit |
US10121873B2 (en) | 2016-07-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate and contact plug design and method forming same |
US9721805B1 (en) | 2016-07-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US10199500B2 (en) | 2016-08-02 | 2019-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layer film device and method |
US10510850B2 (en) | 2016-08-03 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10522536B2 (en) | 2016-08-03 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with gate stacks |
US10164111B2 (en) | 2016-08-03 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US10043886B2 (en) | 2016-08-03 | 2018-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate formation through etch back process |
US9929271B2 (en) | 2016-08-03 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US9991205B2 (en) | 2016-08-03 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10269926B2 (en) | 2016-08-24 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Purging deposition tools to reduce oxygen and moisture in wafers |
US9997524B2 (en) | 2016-08-24 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device and manufacturing method thereof |
US9865697B1 (en) | 2016-08-25 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US9812358B1 (en) | 2016-09-14 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US9865589B1 (en) | 2016-10-31 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of fabricating ESD FinFET with improved metal landing in the drain |
US10049930B2 (en) | 2016-11-28 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and operation method thereof |
US10700181B2 (en) | 2016-11-28 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (finFET) device structure and method for forming the same |
US10043665B2 (en) * | 2016-11-28 | 2018-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure with semiconductor nanowire |
US10326003B2 (en) | 2016-11-28 | 2019-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming |
US10290546B2 (en) | 2016-11-29 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage adjustment for a gate-all-around semiconductor structure |
US10510598B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned spacers and method forming same |
US9881834B1 (en) | 2016-11-29 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact openings and methods forming same |
US9985134B1 (en) | 2016-11-29 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming FinFETs |
US10553720B2 (en) | 2016-11-29 | 2020-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of removing an etch mask |
US10510851B2 (en) * | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low resistance contact method and structure |
DE102017118364B4 (de) | 2016-11-29 | 2021-10-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen |
US10008497B2 (en) | 2016-11-29 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US9837539B1 (en) | 2016-11-29 | 2017-12-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming |
US10269906B2 (en) | 2016-11-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having two spacers |
US10008416B2 (en) | 2016-11-30 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming a protective layer to prevent formation of leakage paths |
US10707316B2 (en) | 2016-12-09 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with gate structure |
US10453741B2 (en) | 2016-12-13 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device contact |
US10037912B2 (en) | 2016-12-14 | 2018-07-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10522642B2 (en) | 2016-12-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co. Ltd. | Semiconductor device with air-spacer |
US10157781B2 (en) | 2016-12-14 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor structure using polishing process |
US9865595B1 (en) | 2016-12-14 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same |
DE102017113681A1 (de) | 2016-12-14 | 2018-06-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-bauelement mit luft-abstandshalter |
US10651171B2 (en) | 2016-12-15 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co. Ltd. | Integrated circuit with a gate structure and method making the same |
US10269646B2 (en) | 2016-12-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10879370B2 (en) | 2016-12-15 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etching back and selective deposition of metal gate |
US9972571B1 (en) | 2016-12-15 | 2018-05-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Logic cell structure and method |
US11476349B2 (en) | 2016-12-15 | 2022-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
DE102017117794A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Zurückätzen und selektive abscheidung eines metall-gates |
US10497811B2 (en) | 2016-12-15 | 2019-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
DE102017127208A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-strukturen und verfahren zu deren herstellung |
US10079289B2 (en) | 2016-12-22 | 2018-09-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
US10164106B2 (en) | 2016-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10121675B2 (en) | 2016-12-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10325911B2 (en) | 2016-12-30 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10516030B2 (en) | 2017-01-09 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US9985023B1 (en) | 2017-02-21 | 2018-05-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure |
US9859364B1 (en) | 2017-03-03 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10304945B2 (en) | 2017-03-24 | 2019-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-speed semiconductor device and method for forming the same |
US10950605B2 (en) | 2017-03-24 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10090325B1 (en) | 2017-03-31 | 2018-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit cells having separated gate electrodes |
US10355095B2 (en) | 2017-03-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with composite gate helmet |
US10153198B2 (en) | 2017-04-07 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-resistance contact plugs and method forming same |
US10056473B1 (en) | 2017-04-07 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10312332B2 (en) | 2017-04-18 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10269621B2 (en) | 2017-04-18 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US10186456B2 (en) | 2017-04-20 | 2019-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming contact plugs with reduced corrosion |
US10062784B1 (en) | 2017-04-20 | 2018-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned gate hard mask and method forming same |
US10872980B2 (en) | 2017-04-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10373879B2 (en) * | 2017-04-26 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contracted isolation feature and formation method thereof |
US10522643B2 (en) | 2017-04-26 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate |
US10522417B2 (en) | 2017-04-27 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with different liners for PFET and NFET and method of fabricating thereof |
US10157997B2 (en) | 2017-04-27 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming the same |
US10332786B2 (en) | 2017-04-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing a semiconductor device |
DE102017122702B4 (de) | 2017-04-28 | 2023-11-09 | Taiwan Semiconductor Manufacturing Co. Ltd. | Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt |
US10115825B1 (en) | 2017-04-28 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with asymmetric contact |
US10170318B2 (en) | 2017-04-28 | 2019-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
US10141225B2 (en) | 2017-04-28 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gates of transistors having reduced resistivity |
US10304728B2 (en) * | 2017-05-01 | 2019-05-28 | Advanced Micro Devices, Inc. | Double spacer immersion lithography triple patterning flow and method |
US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10332965B2 (en) | 2017-05-08 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10050149B1 (en) | 2017-05-18 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure for semiconductor device |
US10269636B2 (en) | 2017-05-26 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10163621B1 (en) | 2017-05-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for FinFET devices |
US10522392B2 (en) | 2017-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US9991268B1 (en) | 2017-06-08 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell structure |
US10283414B2 (en) | 2017-06-20 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation manufacturing method for semiconductor structures |
US11334703B2 (en) | 2017-06-29 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit layouts with fill feature shapes |
US10720358B2 (en) | 2017-06-30 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a liner layer with a configured profile and method of fabricating thereof |
DE102018104944A1 (de) | 2017-06-30 | 2019-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung |
US10468529B2 (en) | 2017-07-11 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with etch stop layer |
US10157988B1 (en) * | 2017-07-18 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same |
US10290635B2 (en) | 2017-07-26 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Buried interconnect conductor |
US10283623B2 (en) | 2017-07-27 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate stacks |
US10685884B2 (en) | 2017-07-31 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including a Fin-FET and method of manufacturing the same |
DE102017126027B4 (de) | 2017-07-31 | 2022-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metallgatestruktur und Verfahren |
US10510875B2 (en) | 2017-07-31 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain structure with reduced contact resistance and enhanced mobility |
US10283503B2 (en) | 2017-07-31 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
US10269624B2 (en) | 2017-07-31 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods of forming same |
US10515850B2 (en) | 2017-08-25 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and IC design with non-linear power rails |
US10403714B2 (en) | 2017-08-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fill fins for semiconductor devices |
US10685880B2 (en) | 2017-08-30 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for reducing contact depth variation in semiconductor fabrication |
US10535654B2 (en) | 2017-08-30 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate with slanted sidewalls |
US10446555B2 (en) | 2017-08-31 | 2019-10-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal track and methods forming same |
US10515896B2 (en) | 2017-08-31 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for semiconductor device and methods of fabrication thereof |
US10535525B2 (en) | 2017-08-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10164053B1 (en) | 2017-08-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10276720B2 (en) | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming fin field effect transistor (FINFET) device structure |
US10475654B2 (en) | 2017-08-31 | 2019-11-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact plug and method manufacturing same |
US10453753B2 (en) | 2017-08-31 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET |
US10374058B2 (en) | 2017-09-15 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10361133B2 (en) | 2017-09-18 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-K metal gate and method for fabricating the same |
US10700177B2 (en) | 2017-09-27 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with low resistivity contact structure and method for forming the same |
US10868181B2 (en) | 2017-09-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with blocking layer and method for forming the same |
US10686074B2 (en) | 2017-09-28 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same |
US10636673B2 (en) | 2017-09-28 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10930595B2 (en) * | 2017-09-28 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Standard cells having via rail and deep via structures |
US10074558B1 (en) | 2017-09-28 | 2018-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with controlled air gaps |
US10157790B1 (en) | 2017-09-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10515687B2 (en) | 2017-09-28 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strap cell design for static random access memory (SRAM) array |
US10763104B2 (en) | 2017-09-28 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming differential etch stop layer using directional plasma to activate surface on device structure |
US10509334B2 (en) | 2017-09-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods and apparatus for removing contamination from lithographic tool |
US10276697B1 (en) | 2017-10-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance FET with improved reliability performance |
US10522557B2 (en) | 2017-10-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface topography by forming spacer-like components |
US10217815B1 (en) | 2017-10-30 | 2019-02-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit device with source/drain barrier |
US10347720B2 (en) | 2017-10-30 | 2019-07-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Doping for semiconductor device with conductive feature |
US10211206B1 (en) * | 2017-11-01 | 2019-02-19 | Globalfoundries Inc. | Two-port vertical SRAM circuit structure and method for producing the same |
US10872762B2 (en) | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
US10403551B2 (en) | 2017-11-08 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain features with an etch stop layer |
US10367078B2 (en) | 2017-11-09 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and FinFET devices having shielding layers |
US10439135B2 (en) | 2017-11-09 | 2019-10-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | VIA structure and methods of forming the same |
DE102018107038B4 (de) | 2017-11-09 | 2022-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung einer durchkontaktierungsstruktur |
US10680084B2 (en) | 2017-11-10 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial structures for fin-like field effect transistors |
US10629708B2 (en) | 2017-11-14 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with barrier layer and method for forming the same |
US10727178B2 (en) | 2017-11-14 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure and methods thereof |
US10283624B1 (en) | 2017-11-14 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US10515948B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including vertical routing structure and method for manufacturing the same |
US10468527B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods of fabricating thereof |
US10396184B2 (en) | 2017-11-15 | 2019-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device fins |
US10515809B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective high-K formation in gate-last process |
US10366915B2 (en) | 2017-11-15 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices with embedded air gaps and the fabrication thereof |
US10964590B2 (en) * | 2017-11-15 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact metallization process |
US10468530B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with source/drain multi-layer structure and method for forming the same |
US10170322B1 (en) | 2017-11-16 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition based process for contact barrier layer |
US10629693B2 (en) | 2017-11-17 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with barrier layer and method for forming the same |
US10658508B2 (en) | 2017-11-17 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with low resistance contact |
US10978351B2 (en) | 2017-11-17 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer between substrate and isolation structure |
US10727117B2 (en) | 2017-11-20 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
US11037924B2 (en) | 2017-11-21 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10504990B2 (en) | 2017-11-21 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation features and methods of fabricating the same |
US10418453B2 (en) | 2017-11-22 | 2019-09-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming metal contacts on metal gates |
US10867986B2 (en) | 2017-11-24 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having fin structure |
US10658362B2 (en) | 2017-11-27 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor component and fabricating method thereof |
US10332789B2 (en) | 2017-11-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with TiN adhesion layer for forming a contact plug |
US10714475B2 (en) | 2017-11-27 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10790142B2 (en) | 2017-11-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective capping processes and structures formed thereby |
US10777466B2 (en) | 2017-11-28 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Fin cutting process and structures formed thereby |
US10714334B2 (en) | 2017-11-28 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10312089B1 (en) | 2017-11-29 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for controlling an end-to-end distance in semiconductor device |
US10510838B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US10840376B2 (en) | 2017-11-29 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method with enhanced gate contact and threshold voltage |
DE102018104004B4 (de) | 2017-11-29 | 2021-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-Struktur und Verfahren mit verbessertem Gate-Kontakt und verbesserter Schwellenspannung |
US10164048B1 (en) | 2017-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10515849B2 (en) | 2017-11-30 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device, interconnection structure and method for forming the same |
US10177038B1 (en) | 2017-11-30 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prevention of contact bottom void in semiconductor fabrication |
US10361120B2 (en) | 2017-11-30 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10366982B2 (en) | 2017-11-30 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure with embedded memory device and contact isolation scheme |
US10867833B2 (en) | 2017-11-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal for FinFET device and method |
US10861745B2 (en) | 2017-11-30 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
DE102018128925B4 (de) | 2017-11-30 | 2024-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung |
US10510894B2 (en) | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent FinFET devices |
US10319581B1 (en) | 2017-11-30 | 2019-06-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate process for reducing transistor spacing |
US10804180B2 (en) | 2017-11-30 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10460994B2 (en) | 2017-11-30 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Residue-free metal gate cutting for fin-like field effect transistor |
US11011618B2 (en) | 2017-11-30 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit devices with gate seals |
DE102018102448B4 (de) | 2017-11-30 | 2023-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung und Struktur leitfähiger Merkmale |
US10847413B2 (en) | 2017-11-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact plugs for semiconductor device |
US10756114B2 (en) | 2017-12-28 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor circuit with metal structure and manufacturing method |
US10608094B2 (en) | 2018-01-23 | 2020-03-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10651292B2 (en) | 2018-02-19 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal via for contact resistance reduction |
US10461078B2 (en) | 2018-02-26 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Creating devices with multiple threshold voltage by cut-metal-gate process |
US10867851B2 (en) | 2018-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and semiconductor device and method of forming the same |
US10535748B2 (en) | 2018-03-01 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact with a silicide region |
US11031286B2 (en) | 2018-03-01 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10475702B2 (en) | 2018-03-14 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure using bottom-up filling deposition |
US10290535B1 (en) | 2018-03-22 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit fabrication with a passivation agent |
US10867844B2 (en) | 2018-03-28 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wet cleaning with tunable metal recess for VIA plugs |
US10804140B2 (en) | 2018-03-29 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect formation and structure |
US10854615B2 (en) | 2018-03-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having non-merging epitaxially grown source/drains |
US10629492B2 (en) | 2018-04-27 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure having a dielectric gate and methods thereof |
US10699943B2 (en) | 2018-04-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contacts in a semiconductor device |
US10867848B2 (en) | 2018-04-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10685966B2 (en) | 2018-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with contacting gate structures |
US10529414B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell having SiGe PMOS fin lines |
US10529860B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with contact over dielectric gate |
US10504775B1 (en) | 2018-05-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal layer structures in semiconductor devices |
US11107902B2 (en) | 2018-06-25 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric spacer to prevent contacting shorting |
US10665506B2 (en) | 2018-06-27 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced via bridging risk |
US10950434B2 (en) | 2018-06-27 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing gate spacer loss during semiconductor manufacturing |
US11302535B2 (en) | 2018-06-27 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Performing annealing process to improve fin quality of a FinFET semiconductor |
US10840153B2 (en) | 2018-06-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Notched gate structure fabrication |
US11694933B2 (en) | 2018-06-28 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal gate spacer |
US10665673B2 (en) | 2018-06-28 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure with non-gated well tap cell |
US11410890B2 (en) | 2018-06-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial layers in source/drain contacts and methods of forming the same |
US10790352B2 (en) | 2018-06-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | High density capacitor implemented using FinFET |
US10388771B1 (en) | 2018-06-28 | 2019-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for forming cut-metal-gate feature |
US10755917B2 (en) | 2018-06-29 | 2020-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Treatment for adhesion improvement |
US11081403B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US11315933B2 (en) | 2018-06-29 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and method for forming the same |
US10868128B2 (en) | 2018-06-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same |
US11018053B2 (en) | 2018-06-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with material modification and low resistance plug |
US10468500B1 (en) | 2018-06-29 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET fabrication methods |
US11081356B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for metal gate cut and structure thereof |
US11244898B2 (en) | 2018-06-29 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit interconnect structures with air gaps |
US11127631B2 (en) | 2018-07-13 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contact structures |
US10541175B1 (en) | 2018-07-13 | 2020-01-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US10949597B2 (en) | 2018-07-16 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Through-silicon vias in integrated circuit packaging |
US10672870B2 (en) | 2018-07-16 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10854503B2 (en) | 2018-07-16 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with air gap and method sealing the air gap |
US10755945B2 (en) | 2018-07-16 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal contacts on metal gates and methods thereof |
US11171053B2 (en) | 2018-07-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor device and related methods |
US10840189B2 (en) | 2018-07-30 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit devices having raised via contacts and methods of fabricating the same |
US10854716B2 (en) | 2018-07-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with source/drain contact formed using bottom-up deposition |
US10734474B2 (en) | 2018-07-30 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-insulator-metal structure and methods of fabrication thereof |
US10658237B2 (en) | 2018-07-31 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices |
US11121129B2 (en) | 2018-07-31 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
US11978802B2 (en) | 2018-07-31 | 2024-05-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming the same |
US10790195B2 (en) | 2018-07-31 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Elongated pattern and formation thereof |
US10886226B2 (en) | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co, Ltd. | Conductive contact having staircase barrier layers |
US11031300B2 (en) | 2018-07-31 | 2021-06-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing the same |
US10868184B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US10868182B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and manufacturing method thereof |
US11038059B2 (en) | 2018-07-31 | 2021-06-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11081395B2 (en) | 2018-07-31 | 2021-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor having air gap and method for manufacturing the same |
US11217479B2 (en) | 2018-07-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple metallization scheme |
US11069692B2 (en) | 2018-07-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with dielectric fins |
US10714342B2 (en) * | 2018-07-31 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11031383B2 (en) | 2018-08-14 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10693004B2 (en) | 2018-08-14 | 2020-06-23 | Taiwan Semiconductor Manufactruing Co., Ltd. | Via structure with low resistivity and method for forming the same |
US10797161B2 (en) | 2018-08-14 | 2020-10-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor structure using selective forming process |
US10840342B2 (en) | 2018-08-14 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming source/drain contacts in field-effect transistors |
US10679856B2 (en) | 2018-08-14 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same |
DE102019120821A1 (de) | 2018-08-15 | 2020-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung |
US11062963B2 (en) | 2018-08-15 | 2021-07-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and process of integrated circuit having latch-up suppression |
US11018011B2 (en) | 2018-08-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in semiconductor devices |
US10868020B2 (en) | 2018-08-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Well strap structures and methods of forming the same |
US11222951B2 (en) | 2018-08-31 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain structure and method |
US10868118B2 (en) | 2018-08-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming epitaxial source/drain features in semiconductor devices |
US11043425B2 (en) | 2018-08-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing parasitic capacitance in semiconductor devices |
US10930564B2 (en) | 2018-08-31 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
US10861928B2 (en) | 2018-09-18 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with capacitors |
US10998241B2 (en) | 2018-09-19 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation using a maskless fabrication process flow |
US11101385B2 (en) | 2018-09-19 | 2021-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with air gap and method for forming the same |
US11024721B2 (en) | 2018-09-20 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10923393B2 (en) | 2018-09-24 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contacts and interconnect structures in field-effect transistors |
US11437385B2 (en) | 2018-09-24 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with reduced fin pitch |
US10872891B2 (en) | 2018-09-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate cut features |
US11217585B2 (en) | 2018-09-25 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming dielectric dummy fins with different heights in different regions of a semiconductor device |
US11508827B2 (en) | 2018-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer for a gate structure of a transistor |
US11210447B2 (en) | 2018-09-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices |
US11563167B2 (en) | 2018-09-26 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an MRAM device with a multi-layer top electrode |
US11011636B2 (en) | 2018-09-27 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US11004740B2 (en) | 2018-09-27 | 2021-05-11 | Taiwan Semicondctor Manufacturing Co., Ltd. | Structure and method for interconnection with self-alignment |
US11411090B2 (en) | 2018-09-27 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures for gate-all-around devices and methods of forming the same |
US11374126B2 (en) | 2018-09-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with fin top hard mask and method of forming the same |
US10964816B2 (en) | 2018-09-27 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for boosting performance of FinFETs via strained spacer |
US10636702B2 (en) | 2018-09-27 | 2020-04-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive interconnect structures in integrated circuits |
US10854506B2 (en) | 2018-09-27 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11171209B2 (en) | 2018-09-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11349008B2 (en) | 2018-09-27 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile |
US11031397B2 (en) | 2018-09-27 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells |
US10840133B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with staggered selective growth |
US11121025B2 (en) | 2018-09-27 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layer for side wall passivation |
US10840152B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10937691B2 (en) | 2018-09-27 | 2021-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming an abrasive slurry and methods for chemical-mechanical polishing |
US11069793B2 (en) | 2018-09-28 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers |
US11205714B2 (en) | 2018-09-28 | 2021-12-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy structure at fin cut |
US11222958B2 (en) | 2018-09-28 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor with external ferroelectric structure |
US11257671B2 (en) | 2018-09-28 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system of control of epitaxial growth |
US11024549B2 (en) | 2018-09-28 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10672665B2 (en) | 2018-09-28 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US10923474B2 (en) | 2018-09-28 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having gate-all-around devices |
US11107925B2 (en) | 2018-09-28 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US10950725B2 (en) | 2018-09-28 | 2021-03-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial source/drain structure and method of forming same |
US11069579B2 (en) | 2018-10-19 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10971605B2 (en) | 2018-10-22 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy dielectric fin design for parasitic capacitance reduction |
US11139203B2 (en) | 2018-10-22 | 2021-10-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using mask layers to facilitate the formation of self-aligned contacts and vias |
US11380682B2 (en) | 2018-10-23 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with FinFET gate structures |
US10825721B2 (en) | 2018-10-23 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Insulating cap on contact structure and method for forming the same |
US10847373B2 (en) | 2018-10-23 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming silicide contact in field-effect transistors |
US10840251B2 (en) | 2018-10-25 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method |
US10868018B2 (en) | 2018-10-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and connection |
US10872906B2 (en) | 2018-10-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10937876B2 (en) | 2018-10-26 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain feature to contact interfaces |
US10985022B2 (en) | 2018-10-26 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures having interfacial layers |
US10950729B2 (en) | 2018-10-26 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure with insulating cap |
US10943983B2 (en) | 2018-10-29 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits having protruding interconnect conductors |
US11145544B2 (en) | 2018-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact etchback in room temperature ionic liquid |
US10916550B2 (en) | 2018-10-30 | 2021-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory devices with gate all around transistors |
US10943818B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10998238B2 (en) | 2018-10-31 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with buried interconnect conductors |
US10944009B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating a FinFET device with wrap-around silicide source/drain structure |
US11217486B2 (en) | 2018-10-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10867842B2 (en) | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for shrinking openings in forming integrated circuits |
US11043558B2 (en) | 2018-10-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain metal contact and formation thereof |
US10971408B2 (en) | 2018-10-31 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact air gap formation and structures thereof |
US10957604B2 (en) | 2018-10-31 | 2021-03-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10923598B2 (en) | 2018-11-27 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure and methods of forming the same |
US11476196B2 (en) | 2018-11-27 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with multi-layer dielectric |
US11195951B2 (en) | 2018-11-27 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with self-aligned wavy contact profile and method of forming the same |
US11271094B2 (en) | 2018-11-29 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11264268B2 (en) | 2018-11-29 | 2022-03-01 | Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. | FinFET circuit devices with well isolation |
US11107690B2 (en) | 2018-11-30 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US20200194459A1 (en) * | 2018-12-18 | 2020-06-18 | Vanguard International Semiconductor Corporation | Semiconductor devices and methods for fabricating the same |
US10879400B2 (en) | 2018-12-24 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and method of manufacturing the same |
US10868000B2 (en) | 2019-01-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with epitaxial structure and method for forming the same |
US11685015B2 (en) | 2019-01-28 | 2023-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for performing chemical mechanical polishing |
US10777455B2 (en) | 2019-01-29 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-etching process for forming via opening in semiconductor device structure |
US11823896B2 (en) | 2019-02-22 | 2023-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive structure formed by cyclic chemical vapor deposition |
US10868171B2 (en) | 2019-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate dielectric layer and method for forming the same |
US10535524B1 (en) | 2019-03-11 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tuning threshold voltage through meta stable plasma treatment |
US10872810B2 (en) | 2019-03-14 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11469109B2 (en) | 2019-03-14 | 2022-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having metal contact features and method for forming the same |
US10978354B2 (en) | 2019-03-15 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation |
US11043594B2 (en) | 2019-03-26 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low parasitic resistance contact structure |
KR20200116646A (ko) * | 2019-04-02 | 2020-10-13 | 삼성전자주식회사 | 표준 셀을 포함하는 집적 회로 및 반도체 장치 |
US11101353B2 (en) | 2019-04-17 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11121234B2 (en) | 2019-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked gate spacers |
US10971630B2 (en) | 2019-04-24 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having both gate-all-around devices and planar devices |
US11232943B2 (en) | 2019-04-24 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for semiconductor interconnect |
US11031336B2 (en) | 2019-04-25 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device having contact element of rectangular shape |
US11094695B2 (en) * | 2019-05-17 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device and method of forming the same |
US11069784B2 (en) * | 2019-05-17 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10818768B1 (en) | 2019-05-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming metal cap layers to improve performance of semiconductor structure |
US11183580B2 (en) | 2019-05-30 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US10755964B1 (en) | 2019-05-31 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain isolation structure and methods thereof |
US11342229B2 (en) | 2019-06-13 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a semiconductor device structure having an electrical connection structure |
US11043595B2 (en) | 2019-06-14 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate in memory macro edge and middle strap |
US11264284B2 (en) | 2019-06-20 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10872821B1 (en) | 2019-06-24 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US11245071B2 (en) | 2019-06-25 | 2022-02-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11515197B2 (en) | 2019-07-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and method of forming the semiconductor device |
US11152486B2 (en) | 2019-07-15 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance |
US11476166B2 (en) | 2019-07-30 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers |
US11348839B2 (en) | 2019-07-31 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices with multiple silicide regions |
US11145660B2 (en) | 2019-07-31 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual-port SRAM cell structure |
US11532550B2 (en) | 2019-07-31 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having a multi-layer conductive feature and method making the same |
US11342225B2 (en) | 2019-07-31 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier-free approach for forming contact plugs |
US11335817B2 (en) | 2019-08-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Composite etch stop layers for sensor devices |
US11094788B2 (en) | 2019-08-21 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11152488B2 (en) | 2019-08-21 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same |
US11127639B2 (en) | 2019-08-22 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US20210057273A1 (en) | 2019-08-22 | 2021-02-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier-Less Structures |
US11069811B2 (en) | 2019-08-22 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11189727B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET contacts and method forming same |
US11189531B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US11710667B2 (en) | 2019-08-27 | 2023-07-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same |
US11195934B2 (en) | 2019-08-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for bi-layer self-aligned contact |
US11456383B2 (en) | 2019-08-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a contact plug with an air gap spacer |
DE102020114875B4 (de) | 2019-08-30 | 2024-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-vorrichtung und verfahren |
US11158721B2 (en) | 2019-08-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal oxide interlayer structure for nFET and pFET |
KR20210027742A (ko) | 2019-09-03 | 2021-03-11 | 삼성전자주식회사 | 반도체 장치 및 레이아웃 설계 방법 |
US10937652B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure of cut end with self-aligned double patterning |
US10937884B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate spacer with air gap for semiconductor device structure and method for forming the same |
US11239114B2 (en) | 2019-09-16 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced contact resistance and methods of forming the same |
US11227828B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11227950B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming air spacers in semiconductor devices |
US10867863B1 (en) | 2019-09-16 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11302818B2 (en) | 2019-09-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate resistance reduction through low-resistivity conductive layer |
US11282920B2 (en) | 2019-09-16 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gap on gate structure and method for forming the same |
US11114547B2 (en) | 2019-09-17 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with negative capacitance dieletric structures |
US11342231B2 (en) | 2019-09-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device with low threshold voltage |
US11335592B2 (en) | 2019-09-17 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact resistance between via and conductive line |
US11315785B2 (en) | 2019-09-17 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial blocking layer for multi-gate devices and fabrication methods thereof |
US11362212B2 (en) | 2019-09-17 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact interface engineering for reducing contact resistance |
US11469139B2 (en) | 2019-09-20 | 2022-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-up formation of contact plugs |
US11508822B2 (en) | 2019-09-25 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain via having reduced resistance |
US11177344B2 (en) | 2019-09-25 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device with air gap spacer and fabrication methods thereof |
US11621224B2 (en) | 2019-09-26 | 2023-04-04 | Taiwan Semiconductor Manufacturing Co. Ltd. | Contact features and methods of fabricating the same in semiconductor devices |
US11508624B2 (en) | 2019-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with different channel semiconductor materials and method of forming the same |
US11387146B2 (en) | 2019-09-26 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gaps between metal gates and method of forming the same |
US11282935B2 (en) | 2019-09-26 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with protective dielectric layer and method of forming the same |
US11239121B2 (en) | 2019-09-26 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate contacts and methods of forming the same |
US11145765B2 (en) | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with self substrate isolation and methods of forming the same |
US11342222B2 (en) | 2019-09-26 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11443980B2 (en) | 2019-09-27 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device with metal pad extending into top metal layer |
US11581226B2 (en) | 2019-09-27 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with tunable epitaxy structures and method of forming the same |
US11211116B2 (en) | 2019-09-27 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded SRAM write assist circuit |
US11328990B2 (en) | 2019-09-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure having a metal hump for low interface resistance |
CN112582347A (zh) | 2019-09-27 | 2021-03-30 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11271083B2 (en) | 2019-09-27 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, FinFET device and methods of forming the same |
US11587927B2 (en) | 2019-09-27 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Crown bulk for FinFET device |
US11296084B2 (en) | 2019-09-29 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition method, semiconductor device and method of fabricating the same |
US11094796B2 (en) | 2019-09-30 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor spacer structures |
US11289417B2 (en) | 2019-09-30 | 2022-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of forming the same |
US11367479B2 (en) | 2019-09-30 | 2022-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and method |
TWI755874B (zh) | 2019-09-30 | 2022-02-21 | 台灣積體電路製造股份有限公司 | 半導體裝置及其形成方法 |
US11264393B2 (en) | 2019-09-30 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact having a protruding segment |
US11158539B2 (en) | 2019-10-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for barrier-less plug |
US11189708B2 (en) | 2019-10-17 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate stack and method for forming the same |
US11335774B2 (en) | 2019-10-18 | 2022-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure for semiconductor device and method |
US11201229B2 (en) | 2019-10-18 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11037925B2 (en) | 2019-10-18 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method of integrated circuit having decouple capacitance |
US11251305B2 (en) | 2019-10-25 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11322495B2 (en) | 2019-10-28 | 2022-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Complementary metal-oxide-semiconductor device and method of manufacturing the same |
US11264270B2 (en) | 2019-10-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air-replaced spacer for self-aligned contact scheme |
US11251284B2 (en) | 2019-10-29 | 2022-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy gate cutting process and resulting gate structures |
US11145728B2 (en) | 2019-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming same |
US11488857B2 (en) | 2019-10-31 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process |
CN112750761A (zh) | 2019-10-31 | 2021-05-04 | 台湾积体电路制造股份有限公司 | 半导体装置及其制造方法 |
US10964792B1 (en) | 2019-11-22 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal capped via contact structures for semiconductor devices |
US11380781B2 (en) | 2019-12-17 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact and via structures for semiconductor devices |
US11227794B2 (en) | 2019-12-19 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure |
US11233134B2 (en) | 2019-12-19 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistors with dual silicide contact structures |
US11502166B2 (en) | 2019-12-20 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11296187B2 (en) | 2019-12-20 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11728223B2 (en) | 2019-12-20 | 2023-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US11901220B2 (en) | 2019-12-20 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bilayer seal material for air gaps in semiconductor devices |
KR20210082307A (ko) * | 2019-12-24 | 2021-07-05 | 삼성전자주식회사 | 반도체 소자 |
US11276571B2 (en) | 2019-12-26 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of breaking through etch stop layer |
US11488859B2 (en) | 2019-12-27 | 2022-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11508623B2 (en) | 2019-12-31 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Local gate height tuning by CMP and dummy gate design |
US11476365B2 (en) | 2020-01-16 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11495491B2 (en) | 2020-01-16 | 2022-11-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with stacked conductive structures |
US11302692B2 (en) | 2020-01-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same |
US11355615B2 (en) | 2020-01-17 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having fluorine-doped gate sidewall spacers |
US11302577B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US11302784B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having contact feature and method of fabricating the same |
US11244899B2 (en) | 2020-01-17 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Butted contacts and methods of fabricating the same in semiconductor devices |
US11393910B2 (en) | 2020-01-21 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and formation method thereof |
US11201106B2 (en) | 2020-01-24 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with conductors embedded in a substrate |
US11929327B2 (en) | 2020-01-29 | 2024-03-12 | Taiwan Semiconductor Manufacturing Co., Inc. | Liner-free conductive structures with anchor points |
DE102020119831A1 (de) | 2020-01-29 | 2021-07-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Überzugfreie leitfähige strukturen mit ankerpunkten |
US11264273B2 (en) | 2020-01-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Electron migration control in interconnect structures |
DE102020114860A1 (de) | 2020-01-31 | 2021-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor-gates und verfahren zum bilden davon |
US11437287B2 (en) | 2020-01-31 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gates and methods of forming thereof |
US11217586B2 (en) | 2020-01-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having dummy fin physically separating the first and second gate stacks |
US11177383B2 (en) | 2020-02-10 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11094702B1 (en) | 2020-02-10 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | One-time programmable memory device including anti-fuse element and manufacturing method thereof |
US11705372B2 (en) | 2020-02-11 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin loss prevention |
US11264287B2 (en) | 2020-02-11 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with cut metal gate and method of manufacture |
US11189706B2 (en) | 2020-02-11 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with airgap and method of forming the same |
US11830948B2 (en) | 2020-02-19 | 2023-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11664279B2 (en) | 2020-02-19 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple threshold voltage implementation through lanthanum incorporation |
US11201085B2 (en) | 2020-02-25 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having air gap and method for forming the same |
US11133230B2 (en) | 2020-02-26 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dual isolation liner and method of forming the same |
US11373947B2 (en) | 2020-02-26 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnect structures of semiconductor device |
US11211256B2 (en) | 2020-02-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Method with CMP for metal ion prevention |
US11715781B2 (en) | 2020-02-26 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with improved capacitors |
US11545432B2 (en) | 2020-02-27 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with source and drain vias having different sizes |
TW202145443A (zh) | 2020-02-27 | 2021-12-01 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
US11374128B2 (en) | 2020-02-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for air gap inner spacer in gate-all-around devices |
CN113113359A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN113113311A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11515211B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut EPI process and structures |
US11404570B2 (en) | 2020-02-27 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with embedded ferroelectric field effect transistors |
US11152475B2 (en) | 2020-02-27 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts utilizing an inhibitor |
TW202139270A (zh) | 2020-02-27 | 2021-10-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
US11515216B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual silicide structure and methods thereof |
US11588038B2 (en) | 2020-03-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit structure with gate configuration |
DE102021104484A1 (de) | 2020-03-30 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Schaltungsstruktur mit gatekonfiguration |
US11563001B2 (en) | 2020-03-30 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer and capping structures in semiconductor devices |
US11374105B2 (en) | 2020-03-31 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet device with dipole dielectric layer and methods of forming the same |
US12022643B2 (en) | 2020-03-31 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer high-k gate dielectric structure |
DE102020126060A1 (de) | 2020-03-31 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mehrschichtige high-k-gatedielektrikumstruktur |
US11302796B2 (en) | 2020-04-01 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming self-aligned source/drain metal contacts |
US11450602B2 (en) | 2020-04-01 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid method for forming semiconductor interconnect structure |
US11296202B2 (en) * | 2020-04-01 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications |
US11309398B2 (en) | 2020-04-01 | 2022-04-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method for the semiconductor device |
US11251073B2 (en) | 2020-04-01 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co. | Selective deposition of barrier layer |
US11508572B2 (en) | 2020-04-01 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11158632B1 (en) | 2020-04-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Fin-based strap cell structure for improving memory performance |
US11489053B2 (en) | 2020-04-09 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11177212B2 (en) | 2020-04-13 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact formation method and related structure |
US11342501B2 (en) | 2020-04-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11164789B1 (en) | 2020-04-17 | 2021-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device that includes covering metal gate with multilayer dielectric |
DE102020121223A1 (de) | 2020-04-24 | 2021-10-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren |
US11121138B1 (en) | 2020-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low resistance pickup cells for SRAM |
US11342413B2 (en) | 2020-04-24 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective liner on backside via and method thereof |
US11764220B2 (en) | 2020-04-27 | 2023-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device by patterning a serpentine cut pattern |
US11450660B2 (en) | 2020-04-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating the same |
US11521929B2 (en) | 2020-04-28 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping layer for liner-free conductive structures |
CN113161321B (zh) | 2020-04-28 | 2024-10-15 | 台湾积体电路制造股份有限公司 | 半导体结构和形成半导体结构的方法 |
US11398385B2 (en) | 2020-05-08 | 2022-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE102021109275A1 (de) | 2020-05-13 | 2021-11-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around-vorrichtungen mit selbstausgerichteter abdeckung zwischen kanal und rückseitiger leistungsschiene |
US11670692B2 (en) | 2020-05-13 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices having self-aligned capping between channel and backside power rail |
US11257712B2 (en) | 2020-05-13 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact formation methods and devices |
US11631745B2 (en) | 2020-05-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with uneven gate profile |
US11769821B2 (en) | 2020-05-15 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a corner spacer |
US11996409B2 (en) | 2020-05-20 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacking CMOS structure |
US11450572B2 (en) | 2020-05-22 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11374089B2 (en) | 2020-05-22 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench isolation (STI) contact structures and methods of forming same |
US11349005B2 (en) | 2020-05-22 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide structures in transistors and methods of forming |
US11282843B2 (en) | 2020-05-22 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device, SRAM cell, and manufacturing method thereof |
US11289383B2 (en) | 2020-05-27 | 2022-03-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
DE102020131611A1 (de) | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung |
US11380768B2 (en) | 2020-05-28 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11502199B2 (en) | 2020-05-28 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co, Ltd. | Independent control of stacked semiconductor device |
US11410876B2 (en) | 2020-05-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with air gaps and method of fabrication thereof |
US11929329B2 (en) | 2020-05-28 | 2024-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damascene process using cap layer |
US11443987B2 (en) | 2020-05-29 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside air gap dielectric |
US11699742B2 (en) | 2020-05-29 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with varying numbers of channel layers and method of fabrication thereof |
US11527539B2 (en) | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Four-poly-pitch SRAM cell with backside metal tracks |
US11195752B1 (en) | 2020-05-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
US11302798B2 (en) | 2020-05-29 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with air gate spacer and air gate cap |
US11527533B2 (en) | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET pitch scaling |
US11637126B2 (en) | 2020-05-29 | 2023-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11799002B2 (en) | 2020-05-29 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of forming the same |
US11888064B2 (en) | 2020-06-01 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
CN113299648A (zh) | 2020-06-05 | 2021-08-24 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
US11361994B2 (en) | 2020-06-08 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fully self-aligned interconnect structure |
US11515165B2 (en) | 2020-06-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11316033B2 (en) | 2020-06-12 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20210391470A1 (en) | 2020-06-15 | 2021-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layered structure, semiconductor device including the same, and manufacturing method thereof |
US11398550B2 (en) | 2020-06-15 | 2022-07-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with facet S/D feature and methods of forming the same |
US11637099B2 (en) | 2020-06-15 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming ESD devices using multi-gate compatible processes |
US11367621B2 (en) | 2020-06-15 | 2022-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11316023B2 (en) | 2020-06-15 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof |
US11282943B2 (en) | 2020-06-15 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate devices and fabricating the same with etch rate modulation |
US11600728B2 (en) | 2020-06-15 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer |
US11631736B2 (en) | 2020-06-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain feature with enlarged lower section interfacing with backside via |
US11257755B2 (en) | 2020-06-15 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal loss prevention in conductive structures |
US12058867B2 (en) | 2020-06-18 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device |
US11444025B2 (en) | 2020-06-18 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor and fabrication method thereof |
US11430700B2 (en) | 2020-06-26 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Trench isolation with conductive structures |
US11145734B1 (en) | 2020-06-29 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dummy fin and liner and method of forming the same |
US11848238B2 (en) | 2020-06-30 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for manufacturing semiconductor devices with tunable low-k inner air spacers |
US11545546B2 (en) | 2020-06-30 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11233005B1 (en) | 2020-07-10 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing an anchor-shaped backside via |
US11848239B2 (en) | 2020-07-10 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning method and structures resulting therefrom |
US11728244B2 (en) | 2020-07-17 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for forming the same |
US11664278B2 (en) | 2020-07-22 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with L-shape conductive feature and methods of forming the same |
US11276643B2 (en) | 2020-07-22 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with backside spacer and methods of forming the same |
US11728413B2 (en) | 2020-07-30 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate capping structures in semiconductor devices |
US11532718B2 (en) | 2020-07-30 | 2022-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins |
US11456211B2 (en) | 2020-07-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming interconnect structure |
US11810960B2 (en) | 2020-07-31 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11862701B2 (en) | 2020-07-31 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked multi-gate structure and methods of fabricating the same |
US11527621B2 (en) | 2020-08-05 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate electrode deposition and structure formed thereby |
US11437240B2 (en) | 2020-08-05 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structure and method of forming |
US11923436B2 (en) | 2020-08-07 | 2024-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure for semiconductor device |
US11489057B2 (en) | 2020-08-07 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11302816B2 (en) | 2020-08-11 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US12046479B2 (en) | 2020-08-13 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nitride-containing STI liner for SiGe channel |
US11374088B2 (en) | 2020-08-14 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Leakage reduction in gate-all-around devices |
US11935941B2 (en) | 2020-08-14 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for manufacturing thereof |
US11430790B2 (en) | 2020-08-14 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11563083B2 (en) | 2020-08-14 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11335606B2 (en) | 2020-08-19 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Power rails for stacked semiconductor device |
US11646377B2 (en) | 2020-08-21 | 2023-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11810857B2 (en) | 2020-08-25 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via for semiconductor device and method |
US11482594B2 (en) | 2020-08-27 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and method thereof |
US11616143B2 (en) | 2020-08-27 | 2023-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and methods of fabrication thereof |
US11404321B2 (en) | 2020-08-31 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11862694B2 (en) | 2020-09-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11430701B2 (en) | 2020-09-25 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate oxide structures in semiconductor devices |
US11349002B2 (en) | 2020-09-25 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof |
US11348929B2 (en) | 2020-09-28 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and method for forming the same |
US11664272B2 (en) | 2020-09-29 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11581218B2 (en) | 2020-09-29 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11967526B2 (en) | 2020-09-29 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure and manufacturing method thereof |
US11705491B2 (en) | 2020-09-29 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11728212B2 (en) | 2020-09-29 | 2023-08-15 | Taiwan Semicondcutor Manufacturing Company, Ltd. | Integrated circuit structure and manufacturing method thereof |
US11942371B2 (en) | 2020-09-29 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of via opening |
US11749732B2 (en) | 2020-09-29 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch profile control of via opening |
US11404548B2 (en) | 2020-10-13 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capacitance reduction for backside power rail device |
US11894435B2 (en) | 2020-10-15 | 2024-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact plug structure of semiconductor device and method of forming same |
US11658119B2 (en) | 2020-10-27 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside signal interconnection |
US11637018B2 (en) | 2020-10-27 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier layer for contact structures of semiconductor devices |
US12107087B2 (en) | 2020-10-30 | 2024-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Semiconductor device with gate isolation structure and method for forming the same |
US11735470B2 (en) | 2020-11-13 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure with source/drain contact |
CN114284267A (zh) | 2020-11-13 | 2022-04-05 | 台湾积体电路制造股份有限公司 | 集成电路及其制造方法 |
US11482451B2 (en) | 2020-11-20 | 2022-10-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures |
US11374093B2 (en) | 2020-11-25 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11557511B2 (en) | 2021-01-12 | 2023-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11658216B2 (en) | 2021-01-14 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for metal gate boundary isolation |
US11670681B2 (en) | 2021-01-14 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming fully strained channels |
US12089414B2 (en) | 2021-01-15 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11923357B2 (en) | 2021-01-18 | 2024-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US12057341B2 (en) | 2021-01-27 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut structure and method of forming the same |
US11538927B2 (en) | 2021-01-28 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nanostructures and method for manufacturing the same |
US12068322B2 (en) | 2021-01-29 | 2024-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a multi-layer epitaxial source/drain region having varying concentrations of boron and germanium therein |
US11600703B2 (en) | 2021-01-29 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium tin gate-all-around device |
US11581411B2 (en) | 2021-02-09 | 2023-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11984356B2 (en) | 2021-02-11 | 2024-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US12002885B2 (en) | 2021-02-11 | 2024-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate contact and via structures in semiconductor devices |
US11621197B2 (en) | 2021-02-15 | 2023-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut feature and method for forming the same |
US11798943B2 (en) | 2021-02-18 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor source/drain contacts and methods of forming the same |
US11652171B2 (en) | 2021-02-22 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
US11670595B2 (en) | 2021-02-25 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11676862B2 (en) | 2021-02-26 | 2023-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11854963B2 (en) | 2021-03-03 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11626482B2 (en) | 2021-03-04 | 2023-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer formation with a spin-on dielectric material |
US11538858B2 (en) | 2021-03-05 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and memory array |
US11996324B2 (en) | 2021-03-05 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature of a semiconductor device and method of forming same |
US11876119B2 (en) | 2021-03-05 | 2024-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate isolation features and fabrication method of the same |
US11855153B2 (en) | 2021-03-10 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US12040233B2 (en) | 2021-03-10 | 2024-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US11942358B2 (en) | 2021-03-12 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low thermal budget dielectric for semiconductor devices |
US11670499B2 (en) | 2021-03-18 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming conductive feature including cleaning step |
US12119259B2 (en) | 2021-03-26 | 2024-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate contacts and methods of forming the same |
US11605558B2 (en) | 2021-03-26 | 2023-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit interconnect structure having discontinuous barrier layer and air gap |
US11942479B2 (en) | 2021-03-26 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11482518B2 (en) | 2021-03-26 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structures having wells with protruding sections for pickup cells |
US11682675B2 (en) | 2021-03-30 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US12068248B2 (en) | 2021-03-30 | 2024-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11605591B2 (en) | 2021-03-30 | 2023-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11749729B2 (en) | 2021-03-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, integrated circuit component and manufacturing methods thereof |
US11901228B2 (en) | 2021-03-31 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11646346B2 (en) | 2021-04-08 | 2023-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with air spacer for semiconductor device and method for forming the same |
US11695042B2 (en) | 2021-04-08 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor contacts and methods of forming the same |
US11784228B2 (en) | 2021-04-09 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process and structure for source/drain contacts |
US11710664B2 (en) | 2021-04-15 | 2023-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with backside via contact and a protection liner layer |
US11728218B2 (en) | 2021-04-16 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11848372B2 (en) | 2021-04-21 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for reducing source/drain contact resistance at wafer backside |
US11996327B2 (en) | 2021-04-22 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US11908701B2 (en) | 2021-04-22 | 2024-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method and manufacturing method of semiconductor device |
US11737287B2 (en) | 2021-04-23 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and semiconductor device having the same |
US12010928B2 (en) | 2021-04-23 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, semiconductor device having the same, and methods of manufacturing the same |
US11482595B1 (en) | 2021-04-23 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11652152B2 (en) | 2021-04-23 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping structures in semiconductor devices |
US11996363B2 (en) | 2021-04-28 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure including a heat dissipation layer and methods of forming the same |
US11855186B2 (en) | 2021-04-28 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11600699B2 (en) | 2021-05-05 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure integrating air gaps and methods of forming the same |
US12107007B2 (en) | 2021-05-05 | 2024-10-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Recessed contacts at line end and methods forming same |
US11967622B2 (en) | 2021-05-05 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inter block for recessed contacts and methods forming same |
US11705371B2 (en) | 2021-05-05 | 2023-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices having merged source/drain features and methods of fabrication thereof |
US11756884B2 (en) | 2021-05-06 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US11776895B2 (en) | 2021-05-06 | 2023-10-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US12094823B2 (en) | 2021-05-07 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US11640940B2 (en) | 2021-05-07 | 2023-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnection structure including conductive graphene layers |
US11792977B2 (en) | 2021-05-13 | 2023-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor memory structure |
US11996321B2 (en) | 2021-06-17 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11810919B2 (en) | 2021-06-17 | 2023-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure with conductive via structure and method for forming the same |
US12113113B2 (en) | 2021-07-29 | 2024-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with a core-shell feature and method for forming the same |
US12119345B2 (en) | 2021-08-06 | 2024-10-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11957070B2 (en) | 2021-08-06 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, memory cell and method of forming the same |
US12080597B2 (en) | 2021-08-13 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11581416B1 (en) | 2021-08-19 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US11908702B2 (en) * | 2021-08-19 | 2024-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US11996453B2 (en) | 2021-08-27 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Introducing fluorine to gate after work function metal deposition |
US12062692B2 (en) | 2021-08-27 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tapered dielectric layer for preventing electrical shorting between gate and back side via |
US12074060B2 (en) | 2021-08-28 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11990524B2 (en) | 2021-08-30 | 2024-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and forming method thereof |
US12009259B2 (en) | 2021-08-30 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof |
US12094764B2 (en) | 2021-08-30 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US12074063B2 (en) | 2021-08-30 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact formation method and related structure |
US11749570B2 (en) | 2021-08-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch monitoring and performing |
US11973124B2 (en) | 2021-11-04 | 2024-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US12087776B2 (en) | 2022-01-27 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US12080769B2 (en) | 2022-02-15 | 2024-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with silicide and method for forming the same |
US12046476B2 (en) | 2022-03-25 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wet etching chemistry and method of forming semiconductor device using the same |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1433078A (zh) * | 2002-01-07 | 2003-07-30 | 三星电子株式会社 | 静态随机存取存储单元的布置及其器件 |
CN101047186A (zh) * | 2006-03-28 | 2007-10-03 | 台湾积体电路制造股份有限公司 | 存储单元及相关的存储器装置 |
US20070231750A1 (en) * | 2006-03-28 | 2007-10-04 | Applied Materials, Inc. | Method of forming damascene structure |
US20120142179A1 (en) * | 2010-12-02 | 2012-06-07 | Park Jongchul | Method of manufacturing semiconductor device |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4623989A (en) | 1983-08-31 | 1986-11-18 | Texas Instruments Incorporated | Memory with p-channel cell access transistors |
CA2342575A1 (en) | 2001-04-03 | 2002-10-03 | Mosaid Technologies Incorporated | Content addressable memory cell |
US7033734B2 (en) * | 2003-02-28 | 2006-04-25 | Intel Corporation | Dipole illumination |
US20080299780A1 (en) * | 2007-06-01 | 2008-12-04 | Uv Tech Systems, Inc. | Method and apparatus for laser oxidation and reduction |
KR20100080171A (ko) | 2008-12-31 | 2010-07-08 | 주식회사 동부하이텍 | Sram 소자 및 그 제조 방법 |
US7674703B1 (en) * | 2009-01-27 | 2010-03-09 | Infineon Technologies Ag | Gridded contacts in semiconductor devices |
US8304172B2 (en) * | 2009-11-12 | 2012-11-06 | Advanced Micro Devices, Inc. | Semiconductor device fabrication using a multiple exposure and block mask approach to reduce design rule violations |
US9362290B2 (en) | 2010-02-08 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell layout |
-
2012
- 2012-11-30 US US13/691,367 patent/US9236300B2/en active Active
-
2013
- 2013-02-26 KR KR1020130020416A patent/KR101553438B1/ko active IP Right Grant
- 2013-05-07 CN CN201310165080.1A patent/CN103855097B/zh active Active
- 2013-11-15 TW TW102141598A patent/TWI518533B/zh active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1433078A (zh) * | 2002-01-07 | 2003-07-30 | 三星电子株式会社 | 静态随机存取存储单元的布置及其器件 |
CN101047186A (zh) * | 2006-03-28 | 2007-10-03 | 台湾积体电路制造股份有限公司 | 存储单元及相关的存储器装置 |
US20070231750A1 (en) * | 2006-03-28 | 2007-10-04 | Applied Materials, Inc. | Method of forming damascene structure |
US20120142179A1 (en) * | 2010-12-02 | 2012-06-07 | Park Jongchul | Method of manufacturing semiconductor device |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105514044A (zh) * | 2014-09-26 | 2016-04-20 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN105514044B (zh) * | 2014-09-26 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN105990444A (zh) * | 2015-03-20 | 2016-10-05 | 三星电子株式会社 | 包括有源鳍的半导体器件 |
CN105990444B (zh) * | 2015-03-20 | 2021-07-06 | 三星电子株式会社 | 包括有源鳍的半导体器件 |
CN109216455A (zh) * | 2017-06-29 | 2019-01-15 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN109427688A (zh) * | 2017-08-31 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 静态随机存取存储(sram)器件及其相关的制造方法和系统 |
CN109427688B (zh) * | 2017-08-31 | 2021-12-28 | 台湾积体电路制造股份有限公司 | 静态随机存取存储(sram)器件及其相关的制造方法和系统 |
CN109872967A (zh) * | 2017-12-05 | 2019-06-11 | 三星电子株式会社 | 制造半导体装置的方法 |
CN109872967B (zh) * | 2017-12-05 | 2024-06-11 | 三星电子株式会社 | 制造半导体装置的方法 |
CN112420701A (zh) * | 2019-08-23 | 2021-02-26 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN113053884A (zh) * | 2020-04-15 | 2021-06-29 | 台湾积体电路制造股份有限公司 | 半导体结构及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20140070306A (ko) | 2014-06-10 |
US20140151812A1 (en) | 2014-06-05 |
US9236300B2 (en) | 2016-01-12 |
KR101553438B1 (ko) | 2015-09-15 |
TW201421272A (zh) | 2014-06-01 |
CN103855097B (zh) | 2016-06-08 |
TWI518533B (zh) | 2016-01-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103855097A (zh) | Sram单元中的接触塞及其形成方法 | |
US9478553B2 (en) | SRAM cell connection structure | |
KR101539495B1 (ko) | Sram 셀 구조를 위한 방법 및 장치 | |
KR100577610B1 (ko) | 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법. | |
US7675124B2 (en) | Memory array structure with strapping cells | |
CN108257960B (zh) | 静态随机存取存储元件 | |
US20120299106A1 (en) | Semiconductor device and method of fabrication | |
CN106328188B (zh) | 八晶体管静态随机存取存储器的布局图案与形成方法 | |
US10043571B1 (en) | SRAM structure | |
US20220375964A1 (en) | Ic including standard cells and sram cells | |
JP7549765B2 (ja) | 単一半導体ダイにおけるモノリシック集積および/または不均一集積の最適化のための統合スケーリングおよびストレッチングプラットフォーム | |
US20190386012A1 (en) | Sram structure and method for manufacturing sram structure | |
US11189340B1 (en) | Circuit in memory device for parasitic resistance reduction | |
US9230969B2 (en) | Semiconductor device | |
CN118829213A (zh) | 包括只读存储单元的集成电路 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |