TWI518533B - 靜態隨機存取記憶體裝置的製造方法及其佈局 - Google Patents
靜態隨機存取記憶體裝置的製造方法及其佈局 Download PDFInfo
- Publication number
- TWI518533B TWI518533B TW102141598A TW102141598A TWI518533B TW I518533 B TWI518533 B TW I518533B TW 102141598 A TW102141598 A TW 102141598A TW 102141598 A TW102141598 A TW 102141598A TW I518533 B TWI518533 B TW I518533B
- Authority
- TW
- Taiwan
- Prior art keywords
- pull
- transistor
- mask layer
- random access
- access memory
- Prior art date
Links
- 230000003068 static effect Effects 0.000 title claims description 50
- 238000000034 method Methods 0.000 title claims description 46
- 238000012546 transfer Methods 0.000 claims description 43
- 229920002120 photoresistant polymer Polymers 0.000 claims description 21
- 238000004519 manufacturing process Methods 0.000 claims description 17
- 239000000463 material Substances 0.000 claims description 16
- 239000013078 crystal Substances 0.000 claims description 6
- 238000005530 etching Methods 0.000 claims description 6
- 238000000059 patterning Methods 0.000 claims 1
- 239000010410 layer Substances 0.000 description 240
- 230000015572 biosynthetic process Effects 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 15
- 239000002184 metal Substances 0.000 description 15
- 239000004065 semiconductor Substances 0.000 description 14
- 238000010586 diagram Methods 0.000 description 8
- 239000000758 substrate Substances 0.000 description 6
- 238000001459 lithography Methods 0.000 description 5
- 238000004904 shortening Methods 0.000 description 5
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- WJMXTYZCTXTFJM-UHFFFAOYSA-N 1,1,1,2-tetraethoxydecane Chemical compound C(C)OC(C(OCC)(OCC)OCC)CCCCCCCC WJMXTYZCTXTFJM-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- ROZSPJBPUVWBHW-UHFFFAOYSA-N [Ru]=O Chemical group [Ru]=O ROZSPJBPUVWBHW-UHFFFAOYSA-N 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-O phosphonium Chemical compound [PH4+] XYFCBTPGUUZFHI-UHFFFAOYSA-O 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000011819 refractory material Substances 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 238000007650 screen-printing Methods 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B99/00—Subject matter not provided for in other groups of this subclass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/12—Static random access memory [SRAM] devices comprising a MOSFET load element
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/12—Static random access memory [SRAM] devices comprising a MOSFET load element
- H10B10/125—Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Engineering & Computer Science (AREA)
- Geometry (AREA)
- Semiconductor Memories (AREA)
Description
本發明係有關於一種靜態隨機存取記憶體裝置的製造方法及其佈局,特別係有關於一種鰭式靜態隨機存取記憶體裝置的製造方法及其佈局。
在深微米技術中,會持續微縮接觸插塞的尺寸以符合日漸縮短的閘極間距。相較於正方形接觸插塞,在微縮接觸插塞的尺寸且不影響接觸電阻的情形下,係採用長接觸插塞。藉由使用長接觸插塞,可降低接觸插塞的寬度,且上述寬度係沿閘極間距方向量測。上述長接觸插塞有較大的長度,且上述長度係沿閘極繞線(閘極縱向)方向量測。藉由使用長接觸插塞,可增加主動區接觸尺寸和微影曝光面積兩者。
長接觸插塞可達到高閘極密度和低接觸電阻兩個目的。然而,仍會存在顧慮,舉例來說,在相鄰的長接觸插塞末端會發生線末短縮(line-end shortening)及/或線末對線末橋接(line-end to line-end bridging)問題。這些問題會導致接觸對鰭主動區開口(也被稱為接觸短路(contact shortening))或導致接觸對接觸橋接(也被稱為接觸橋接(contact bridging))。為了降低線末短縮(line-end shortening)問題的可能性,需要一種進一步限制的間距規則,以增加相鄰接觸插塞末端的間距,以及
在線末端需要一種更具侵略性的光學近場校正(optical proximity correction,OPC)方法。然而,這些解決方式會影響積體電路的尺寸。在未來的鰭式金氧半導體場效電晶體(fin-type MOSFET(簡稱為3D MOSFET))中,因為3D MOSFET的主動區非常窄,所以會更加惡化上述問題。
有鑑於此,本發明揭露之一實施例係提供一種靜態隨機存取記憶體裝置的製造方法,上述靜態隨機存取記憶體裝置的製造方法係包括於一靜態隨機存取記憶體晶胞上方形成一介電層。上述靜態隨機存取記憶體晶胞包括一第一上拉電晶體和一第二上拉電晶體;一第一下拉電晶體和一第二下拉電晶體,與上述第一上拉電晶體和上述第二上拉電晶體形成一交叉鎖定反相器;一第一傳送閘電晶體和一第二傳送閘電晶體,分別連接至上述第一上拉電晶體和上述第一下拉電晶體的汲極以及上述第二上拉電晶體和上述第二下拉電晶體的汲極。於上述介電層上方形成和圖案化一第一遮罩層。於上述介電層上方形成一第二遮罩層。利用上述第一遮罩層和上述第二遮罩層結合而成的一蝕刻遮罩,蝕刻上述介電層,其中於上述介電層中形成一接觸開口。於上述接觸開口中形成一接觸插塞。
本發明揭露之另一實施例係提供一種靜態隨機存取記憶體裝置的製造方法,上述靜態隨機存取記憶體裝置的製造方法係包括形成一靜態隨機存取記憶體晶胞,其包括複數個閘極和複數個主動區條狀物,其中上述些主動區條狀物與上述些閘極係形成電晶體;於上述些閘極與上述些主動區條狀物上
方形成一介電層;於上述介電層上方形成一第一遮罩層,其中上述第一遮罩層覆蓋上述介電層的第一部分,且上述介電層的第二部分從上述第一遮罩層中的開口中暴露出來;形成一第二遮罩層,其中上述第二遮罩層包括填充部分上述第一遮罩層中的上述些開口的部分;利用上述第一遮罩層和上述第二遮罩層成為的一蝕刻遮罩,蝕刻上述介電層,以於上述介電層中形成複數個接觸開口。於上述些接觸開口中形成複數個接觸插塞。
本發明揭露之又一實施例係提供一種靜態隨機存取記憶體裝置的佈局,其用於一非暫時性電腦可讀取媒體上,上述靜態隨機存取記憶體裝置的佈局包括複數個閘極的一第一複數個佈局圖案;複數個鰭線的一第二複數個佈局圖案,其中上述第一複數個佈局圖案和上述第二複數個佈局圖案為:包括一第一和一第二下拉電晶體和一第一和一第二下拉電晶體的複數個交叉鎖定反相器;以及兩個傳送閘電晶體,耦接至上述些交叉鎖定反相器。上述靜態隨機存取記憶體裝置的佈局更包括一第一遮罩層的一第三複數個佈局圖案的部分;一第二遮罩層的一第四複數個佈局圖案,其中上述第三複數個佈局圖案的部分並未重疊於上述第四複數個佈局圖案,且第四複數個佈局圖案包括上述靜態隨機存取記憶體裝置的接觸插塞圖案。
10、10-11、10-12、10-21、10-22‧‧‧靜態隨機存取記憶體晶胞
110、112‧‧‧資料節點
10A、10B、10C、10D‧‧‧外邊界
11‧‧‧N型井區
12‧‧‧P型井區
16、18、36、38‧‧‧閘極
14、20、34、40‧‧‧主動區
48‧‧‧半導體基板
49‧‧‧淺溝槽隔絕區
50‧‧‧介電層
50A‧‧‧部分
51‧‧‧閘極堆疊
52、52’‧‧‧硬遮罩層
52”‧‧‧遮罩層
53‧‧‧閘極間隙壁
54‧‧‧光阻
56‧‧‧長開口
58‧‧‧開口
60、60A、60B、60’‧‧‧覆蓋層
60”‧‧‧遮罩層
62‧‧‧接觸開口
64、64A1、64A2、64B1、64B2、64C、64D‧‧‧接觸插塞
66、66A、66B‧‧‧金屬連接物
67A、67B‧‧‧線末端
PD-1、PD-2‧‧‧下拉電晶體
PG-1、PG-2‧‧‧傳送閘電晶體
PU-1、PU-2‧‧‧上拉電晶體
WL‧‧‧字元線
BL、BLB‧‧‧位元線
Vdd‧‧‧電壓節點
Vss‧‧‧電源供應電壓
I-1‧‧‧第一反相器
I-2‧‧‧第二反相器
GC‧‧‧閘極接觸層別
ODC‧‧‧主動區接觸層別
V_0、V_1、V_2‧‧‧介層孔層別
M1、M2、M3‧‧‧金屬層
XORT-1、XORT-2‧‧‧電晶體
S1‧‧‧間距
R1‧‧‧第一列
R2‧‧‧第二列
C1‧‧‧第一行
C2‧‧‧第二行
第1A和1B圖為本發明實施例之靜態隨機存取記憶體晶胞(SRAM cell)的電路圖。
第2圖為本發明實施例之一靜態隨機存取記憶體晶胞
(SRAM cell)的剖面示意圖。
第3A-3C、4-5、6A-9A、6B-9B、6C-9C、10圖為本發明不同實施例之靜態隨機存取記憶體晶胞(SRAM cell)的製造方法的中間製程步驟的俯視圖和剖面圖。
第11、12圖為本發明不同實施例之靜態隨機存取記憶體晶胞(SRAM cell)的製造方法的中間製程步驟的上視圖。
第13圖顯示本發明實施例之一靜態隨機存取記憶體晶胞(SRAM cell),其中靜態隨機存取記憶體晶胞中的一些電晶體為多鰭的鰭式電晶體。
第14-16圖為本發明其他實施例之靜態隨機存取記憶體晶胞(SRAM cell)的製造方法的中間製程步驟的俯視圖。
第17圖顯示本發明實施例之靜態隨機存取記憶體晶胞(SRAM cell)俯視圖,其包括多鰭的鰭式電晶體。
第18圖顯示本發明實施例之重覆的靜態隨機存取記憶體晶胞(SRAM cell)。
第19-24圖為本發明其他實施例之靜態隨機存取記憶體晶胞(SRAM cell)的製造方法的中間製程步驟的俯視圖。
以下以各實施例詳細說明並伴隨著圖式說明之範例,做為本發明之參考依據。在圖式或說明書描述中,相似或相同之部分皆使用相同之圖號。且在圖式中,實施例之形狀或是厚度可擴大,並以簡化或是方便標示。再者,圖式中各元件之部分將以分別描述說明之,值得注意的是,圖中未繪示或描述之元件,為所屬技術領域中具有通常知識者所知的形式。雖
然係使用六電晶體SRAM晶胞(6T SRAM)為實施例來說明本發明的概念,但是本發明實施例也可應用於具不同數目電晶體的SRAM晶胞,例如八電晶體SRAM晶胞(8T SRAM)。
本發明不同實施例係提供一種靜態隨機存取記憶體晶胞(SRAM cell)。以下會說明本發明實施例的不同處。在圖式或說明書描述中,相似或相同之部分皆使用相同之圖號。
第1A圖顯示本發明一些實施例之靜態隨機存取記憶體晶胞(SRAM cell)10的電路圖。SRAM晶胞10可包括P型金氧半導體(PMOS)電晶體的傳送閘電晶體PG-1、PG-2和上拉電晶體PU-1、PU-2,以及N型金氧半導體(NMOS)電晶體的下拉電晶體PD-1、PD-2。傳送閘電晶體PG-1、PG-2的閘極係連接至字元線WL,上述字元線WL係決定SRAM晶胞10是否被選擇。由上拉電晶體PU-1、PU-2和下拉電晶體PD-1、PD-2形成的閂(latch)係儲存一個位元(bit),其中位元的互補值係儲存於資料節點110和資料節點112。可利用位元線BL和BLB從SRAM晶胞10寫入和讀取上述儲存的位元。
上拉電晶體PU-1、PU-2的源極係連接至電壓節點Vdd,其承載正電源供應電壓(和正電源供應電壓線)Vdd。上述下拉電晶體PD-1、PD-2的源極係連接至電源供應電壓(和線)Vss,其進一步連接至電源供應電壓/線Vss(例如為一電性接地)。上拉電晶體PU-1和下拉電晶體PD-1的閘極係連接至上拉電晶體PU-2和下拉電晶體PD-2的汲極,且上拉電晶體PU-2和下拉電晶體PD-2的汲極連接至資料節點110。上拉電晶體PU-2和下拉電晶體PD-2的閘極係連接至上拉電晶體PU-1和下拉電晶
體PD-1的汲極,且上拉電晶體PU-1和下拉電晶體PD-1的汲極連接至資料節點112。傳送閘電晶體PG-1的一源/汲極區係連接至位元線BL。傳送閘電晶體PG-2的一源/汲極區係連接至位元線BLB。
第1B圖靜態隨機存取記憶體晶胞(SRAM cell)10的另一種電路圖,其中如第1A圖所示的上拉電晶體PU-1和下拉電晶體PD-1係表示為第一反相器I-1,而上拉電晶體PU-2和下拉電晶體PD-2係表示為第二反相器I-2。第二反相器I-2的輸出連接至傳送閘電晶體PG-2和第二反相器I-2的輸入。
第2圖係顯示靜態隨機存取記憶體晶胞(SRAM cell)10的剖面示意圖,且於一半導體晶片或晶圓上形成SRAM晶胞10不同層。注意第2圖係僅為示意圖,顯示內連線結構和電晶體的不同層,但非反應SRAM晶胞10真實的剖面圖。上述內連線結構包括閘極接觸層別(gate contact level)GC、一主動區接觸層別(active region contact level)ODC、介層孔層別(via level)V_0、V_1、V_2以及金屬層M1、M2、M3。每一個層別(level)包括一或多個介電層和形成於其中的導電物。位於相同層別的上述導電物可具有大體上彼此對齊的頂面,大體上彼此對齊的底面,且可同時形成位於相同層別的上述導電物。位於閘極接觸層別GC的(導電)物係將電晶體的閘極(例如實施例顯示的上拉電晶體PU-1、PU-2)連接至位於上方的一層別,例如介層孔層別V_0。位於主動區接觸層別ODC係將電晶體的源汲極區、井區的打線區或類似的區域連接至位於上方的一層別,例如介層孔層別V_0。
第3圖係顯示靜態隨機存取記憶體晶胞(SRAM cell)10的佈局。在本發明一些實施例中,上述佈局係具有圖形資料庫系統(graphic database system,GDS)的形式,且應用於例如為一硬碟的有形非暫時性儲存媒體(tangible non-transitory storage medium)。可利用一電腦來存取和處理上述佈局。並且,可於微影遮罩上形成上述佈局中的圖案和說明書中的處理步驟,使微影遮罩具有透明和不透明的圖案,且微影遮罩係用於曝光光阻,使說明書中所述的元件形成具有圖示的圖案(形狀)。因此,本發明實施例的佈局也可表示為合併各別顯示佈局的圖案的微影遮罩。舉例來說,第7A圖中的圖案56和60也可為佈局中的佈局圖案。並且,第3A圖也可表示為形成於一半導體晶片上的一電路結構的一俯視圖。在第3A-10圖中,本發明一些實施例會討論形成SRAM晶胞10的製程步驟。
請參考第3A圖,係使用虛線10A、10B、10C和10D來顯示SRAM晶胞10的外邊界,且虛線10A、10B、10C和10D形成長方形。N型井區11係形成於兩個P型井區12之間。閘極16和其下的主動區20係形成上拉電晶體PU-1,而主動區20可為N型井區11的一部分,且上拉電晶體PU-1可為鰭式電晶體。閘極16和其下的主動區14也可形成下拉電晶體PD-1,而主動區20可為P型井區12的一部分。閘極18和其下的主動區14形成傳送閘電晶體PG-1。閘極36和其下的主動區40形成上拉電晶體PU-2,而主動區40可為N型井區11的一部分。閘極36和其下的主動區34也可形成下拉電晶體PD-2,而主動區34可為P型井區12的一部分。閘極38和其下的主動區34形成傳送閘電晶體
PG-2。在本發明一些實施例中,主動區14、20、34和40可為半導體鰭狀物(其為長條物)。在本發明一些實施例中,傳送閘電晶體PG-1、PG-2和下拉電晶體PD-1、PD-2可為鰭式場效電晶體(FINFET)。
於所有的上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2的上方形成一介電層或複數個介電層50。介電層50也顯示於第3B、3C圖中,而第3B、3C圖分別為第3A圖中沿B-B切線和C-C切線的剖面圖。請參考第3B、3C圖,在本發明一些實施例中,介電層50可包括層間介電層(ILD)50,其形成覆蓋上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2的源極和汲極區(第3A圖)。上述源極和汲極區可為第3A圖中的主動區14、20、34和40的鰭狀物部分。並且,如第2圖所示的介電層50,其中電晶體XORT-1和XORT-2係用以表示為各別的上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2。硬遮罩層52係於層間介電層(ILD)50上方形成為一毯覆層,也顯示於第3B、3C圖中。
如第3B、3C圖所示,介電層50形成於半導體基板48的上方,而半導體基板48可為一矽基板,然而半導體基板48中也可以包含例如矽鍺、碳化矽、三-五族化合物半導體材料或類似材料的其他半導體材料。第3B圖顯示形成於半導體基板48中之例如淺溝槽隔絕區(STI region)49的絕緣區。在第3C圖中,顯示閘極堆疊51,其中閘極堆疊51係顯示傳送閘電晶體PG-2和下拉電晶體PD-2的閘極堆疊(第3A圖)。閘極間隙壁53
係形成於閘極堆疊51的側壁上。層間介電層(ILD)50可由一介電材料形成,上述介電材料可為介電常數(k)小於3.0的一低介電常數材料,然而也可使用具高介電常數的介電常數材料。舉例來說,介電層50可包括例如磷矽玻璃(PSG)、硼矽玻璃(BSG)、硼磷矽玻璃(BPSG)、四乙氧基矽烷(TEOS)氧化物或類似材料的氧化矽基介電材料。介電層50也可包括係擇自氮氧化矽、氮化矽、含碳介電質、含氮介電質所組成之族群的一材質。
硬遮罩層52係形成於介電層50上方,且可由相對於介電層50而言具充足蝕刻選擇比的一材料形成,使後續的蝕刻製程步驟中,可圖案化硬遮罩層52而不會對介電層50造成明顯的損傷。在本發明一些實施例中,硬遮罩層52可包括擇自氧化矽基介電材料、氮氧化矽、氮化矽、多晶矽、非晶矽、含碳介電質、含氮介電質、有機材料、耐火材料和上述組合所組成之族群的一材質。
如第3C圖所示,係形成和圖案化位於硬遮罩層52於上方的光阻54,以於光阻54中形成長開口56。光阻54的形成方式可包括網印法或旋轉塗佈法。圖案化光阻54的方式可包括使用波長例如等於193nm(ArF光)的光、電子束或深紫外光(EUV)的一曝光法。第4圖顯示圖案化光阻54的俯視圖,其中包括複數個長開口56。圖式所示的SRAM晶胞10的外邊界10A、10B、10C和10D係顯示本發明實施例的長開口56的位置相對於SRAM晶胞10的外邊界10A、10B、10C和10D的位置。在本發明一些實施例中,光阻長開口56係平行較長的外邊界10A和10B,且從SRAM晶胞10的較短的外邊界10C延伸至SRAM晶胞
10的較短的外邊界10D,其中較短的外邊界10C和10D係短於較長的外邊界10A和10B。光阻長開口56係可彼此平行。並且,一些光阻長開口56可重疊於SRAM晶胞10的外邊界10A和10B。
第5圖為包括圖案化光阻54及下方的上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2的示意圖。在本發明一些實施例中,第4、5圖係顯示,除了形成以暴露下方物體的光阻長開口56之外,圖案化光阻54係形成為一毯覆層。
接著,如第6A、6B和6C圖所示,使用圖案化光阻54做為一蝕刻罩幕,於硬遮罩層52上進行一蝕刻步驟,以於硬遮罩層52中形成開口58。第6A圖係顯示類似於第5圖的俯視圖,除了第6A圖係形成開口58。開口58係對準光阻長開口56。第6B和6C圖分別為沿第6A圖中的B-B和C-C切線的剖面圖。第6B圖係顯示光阻長開口56的平面,因此圖案化光阻54並未顯示於此平面。在第6C圖中,顯示三個開口58且對準其下方的光阻長開口56。如第6A圖所示,介電層50包括重疊於一些半導體鰭狀物(主動區)14、20、34和40的源/汲極部分,且部分介電層50從光阻長開口56和開口58暴露出來,也如第6C圖所示。
請參考第7A、7B和7C圖,移除如第6C圖所示的圖案化光阻54,且於如第6C圖所示的結構上方形成一覆蓋層60(包括覆蓋層部分60A和60B),接著圖案化覆蓋層60。在本發明一些實施例中,覆蓋層60包括一光阻,然而覆蓋層60也可為其他材料,上述其他材料不同於其下方硬遮罩層52和介電層50的材料(第7B和7C圖)。在本發明一些實施例中,覆蓋層60包括
覆蓋層部分60A,其可為長條物,且上述長條物的一長軸方向垂直於開口58的一長軸方向。另外,可形成小於覆蓋層部分60A的覆蓋層部分60B。覆蓋層部分60B為客製化部分,其可用於防止最終接觸孔插塞產生橋接問題(bridging)。
如第7A、7B和7C圖所示,在介電層50上方有兩個遮罩層。在本發明一些實施例中,第一遮罩層可為圖案化的硬遮罩層52,而第二遮罩層可為圖案化的覆蓋層60。第一遮罩層和第二遮罩層係各別覆蓋介電層50的一些部分,且留下一些未覆蓋的其他部分。第一遮罩層和第二遮罩層的組合係留下介電層50的未覆蓋的部分50A(第7A、7B和7C圖)。介電層50剩下的部分係被覆蓋。
第7A、7B和7C圖中的硬遮罩層52和覆蓋層60係組合做為一蝕刻罩幕,來蝕刻暴露出來的介電層50的部分50A。進行蝕刻步驟之後,移除覆蓋層60和硬遮罩層52。第8A、8B和8C圖係顯示最終的結構。第8B和8C圖分別為沿第8A圖中的B-B和C-C切線的剖面圖,在第8A圖中,半導體鰭狀物(主動區)14、20、34和40的源/汲極部分會從接觸開口62暴露出來。
請參考第9A、9B和9C圖,以一導電材料填充在第8B和8C圖中的接觸開口62以形成接觸插塞64,上述係連接至上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2的源/汲極區。第9B和9C圖分別為沿第9A圖中的B-B和C-C切線的剖面圖,在第9A圖中,接觸插塞64可包括銅、鈦,鉭、鎢、鋁或上述合金。接觸插塞64可對應至第2圖所示的主動區接觸層別ODC的接觸插塞。
接觸插塞64為分離的接觸插塞。請參考第10圖,接觸插塞64可包括接觸插塞64A1、64A2、64B1和64B2,位於上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2的汲極區上方且連接至上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2的汲極區。例如接觸插塞、金屬線、介層孔插塞或上述組合的額外的金屬連接物66(包括金屬連接物66A、66B)可形成於接觸插塞64上方,且內部連接至接觸插塞64。舉例來說,金屬連接物66A形成於接觸插塞64A1、64A2且內部連接至接觸插塞64A1、64A2。金屬連接物66B形成於接觸插塞64B1、64B2且內部連接至接觸插塞64B1、64B2。
第11、12圖為本發明不同實施例之SRAM晶胞10的製造方法的中間製程步驟的上視圖。除了特別提及,在這些實施例(以及第13、17圖中的實施例)的元件的材料和形成方法實質上相同於如第1至10圖所示之以類似元件符號標示的類似元件。因此,如第11、12圖所示的元件的材料和形成方法可於如第1至10圖所示之實施例的討論中得知。
請參考第11圖,形成上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且於上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2上方形成(複數個)介電層50,其中介電層50的剖面圖實質上與如第3B、3C圖所示的介電層50相同。接著,於介電層50上方形成覆蓋層60’且圖案化覆蓋層60’。可使用擇自與第3A-3C圖中的硬遮罩層52相同的材料族群來形成覆蓋層60’。覆蓋層60’可與第7A圖中的覆蓋層60具有相同的佈局且覆蓋
SRAM晶胞10的相同部分。
接著,如第12圖所示,於第11圖所示的結構上方形成硬遮罩層52’。圖案化硬遮罩層52’以形成(長)開口56’,除了目前(長)開口56’位於硬遮罩層52’中之外,(長)開口56’的形狀、尺寸和位置係類似於第4圖中的(長)開口56。舉例來說,(長)開口56的長度可等於SRAM晶胞10的(長)外邊界10A、10B,且可與SRAM晶胞10的(長)外邊界10A、10B重疊。可使用擇自與第3A-3C圖中的覆蓋層60相同的材料族群來形成硬遮罩層52’。覆蓋層60’和硬遮罩層52’的組合係覆蓋(遮蓋)介電層50的一些部分,且留下介電層50未覆蓋的部分50A。
接著,覆蓋層60’和硬遮罩層52’的組合係用做為一蝕刻遮罩,蝕刻下方的介電層50(可同時參考第8A-8C圖)以形成接觸開口62。然後,移除覆蓋層60’和硬遮罩層52’。最終結構與第8A-8C圖所示的結構相同。在後續步驟中,進行第9A、9B、9C和10圖中的步驟以完成SRAM晶胞10的形成方法。
第13圖係顯示本發明其他實施例之SRAM晶胞10的俯視圖。相較於第10圖中為單一鰭式電晶體的傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2而言,第13圖為多重鰭式電晶體的傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2之外,這些實施例類似於第10圖所示的實施例。雖然,第13圖所示傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2的每一個包括兩個鰭狀物,然而上述電晶體也可包括多於兩個的鰭狀物,例如三個鰭狀物、四個鰭狀物或多個鰭狀物。
而第13圖顯示的本發明其他實施例之SRAM晶胞10的製造方法係與第3至12圖中顯示的製造方法相同,因而在此不予說明。在第13圖中,雖然此時已經形成接觸插塞64,且已經移除覆蓋層60,然而圖中顯示的覆蓋層(圖案)60的位置為與電晶體位置的相對位置。
第14-16圖為本發明其他實施例之SRAM晶胞10的製造方法的中間製程步驟的俯視圖。請參考第14圖,形成上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且於上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且形成介電層50和遮罩層52”,其中如第14圖所示結構的剖面圖實質上與第3B和3C圖所示結構相同。接著,如第15圖所示,於介電層50和遮罩層52”上方形成遮罩層60”,且圖案化遮罩層60”。如第14-16圖所示,在本發明一些實施例中,會先形成遮罩層52”,且遮罩層52”中包括開口58,接著於遮罩層52”上方形成遮罩層60”。上述各別的製程可與第3A至10圖所示的製程相同,且遮罩層52”的形成方式係對應於硬遮罩層52的形成方式,而遮罩層60”的形成方式係對應於覆蓋層60的形成方式。在本發明其他實施例中,會先形成遮罩層60”,接著於遮罩層60”上方形成遮罩層52”。上述各別的製程可與第11、12和9A至10圖所示的製程相同,且遮罩層60”的形成方式係對應於覆蓋層60的形成方式,而遮罩層52”的形成方式係對應於硬遮罩層52的形成方式。可以得知在第15圖中,遮罩層60”係形成為島狀(而非長條狀),且遮罩層60”的圖案不同於遮罩層60(第7A圖)和遮罩層60’(第12圖)。結果,
會蝕刻部分50A,其為介電層50之未被遮罩層52”和60”覆蓋的部分,以於介電層50中形成開口。
在後續步驟中,填充因為蝕刻介電層50形成的開口而形成如第16圖顯示的接觸插塞64。接觸插塞64可包括接觸插塞64C、64D,而接觸插塞64C、64D為長形接觸。接觸插塞64C係內部連接至下拉電晶體PD-1和上拉電晶體PU-1的汲極區,以形成資料節點110(參考第1圖)的一部分。接觸插塞64D係內部連接至下拉電晶體PD-2和上拉電晶體PU-2的汲極區,以形成資料節點112(參考第1圖)的一部分。換句話說,接觸插塞64C與第10圖中的接觸插塞64A1、64A2和金屬連接物66A進行相同的功能,而接觸插塞64D與第10圖中的接觸插塞64B1、64B2和金屬連接物66B進行相同的功能。因此,雖然遮罩層60”具有較第7A圖中的遮罩層60和第11圖中的遮罩層60’複雜的佈局,而在使用第14-16圖中的實施例時,可省略第10圖中的金屬連接物66A、66B的製程。
第17圖係顯示本發明其他實施例之SRAM晶胞10的俯視圖。相較於第16圖中為單一鰭式電晶體的傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2而言,第17圖為多重鰭式電晶體的傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2之外,這些實施例類似於第16圖所示的實施例。雖然,第17圖所示傳送閘電晶體PG-1、下拉電晶體PD-1、傳送閘電晶體PG-2、下拉電晶體PD-2的每一個包括兩個鰭狀物,然而上述電晶體也可包括多於兩個的鰭狀物,例如三個鰭狀物、四個鰭狀物或多個鰭狀物。
而第17圖顯示的本發明其他實施例之SRAM晶胞10的製造方法係與第14至16圖中顯示的製造方法相同,因而在此不予說明。
在前述實施例中,係以一單一SRAM晶胞表示且用以說明實施例。可以理解一SRAM陣列可以包括複數個SRAM晶胞。可利用第18圖的示意圖來形成一SRAM陣列。第18圖中的SRAM晶胞10-11、10-12、10-21、10-22具有完全相同的結構,且可具有第10、13、16、17圖中的任何結構或類似的結構。SRAM晶胞10-11、10-12、10-21、10-22係設置於一第一列R1、一第二列R2、一第一行C1和一第二行C2。每一個SRAM晶胞10-11、10-12、10-21、10-22中顯示的符號”F”係表示SRAM晶胞的取向。可以理解符號”F”僅用來表示的方向,而並非為SRAM晶胞的一部分。SRAM晶胞10-11和10-12係相對Y軸對稱。SRAM晶胞10-21和10-22係相對Y軸對稱。SRAM晶胞10-11和SRAM晶胞10-21係相對X軸對稱。SRAM晶胞10-12和SRAM晶胞10-22係相對X軸對稱。SRAM晶胞10-11、10-12、10-21、10-22的一族群可被複製和配置為複數列和複數行以形成SRAM陣列。
第19至21圖係顯示本發明其他實施例之SRAM晶胞10的製造方法的中間製程步驟的俯視圖。除了第19至21圖的實施例包括開口58A的開口58並未從SRAM晶胞10的一邊界一路延伸至SRAM晶胞10的相對邊界之外,第19至21圖顯示的這些實施例係類似於第14至16圖中的實施例。開口58也可包括開口58B,從SRAM晶胞10的一邊界延伸至SRAM晶胞10的相對邊界。在這些實施例中,開口58A係彼此隔開,且可對齊至一條直線。開口58A也會延伸至SRAM晶胞10的短邊界,且因此會
連接至相鄰SRAM晶胞(圖未顯示)的開口58A(圖未顯示)。以下會說明簡要的製程。
請參考第19圖,形成上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且於上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且形成介電層50和遮罩層52”,其中如第19圖所示結構的剖面圖實質上與第3B和3C圖所示結構相同。接著,如第20圖所示,於介電層50和遮罩層52”上方形成遮罩層60”,且圖案化遮罩層60”。如第19和20圖所示,在本發明一些實施例中,會先形成遮罩層52”,且遮罩層52”中包括開口58,接著於遮罩層52”上方形成遮罩層60”。上述各別的製程可與第3A至10圖所示的製程相同,且遮罩層52”的形成方式係對應於硬遮罩層52的形成方式,而遮罩層60”的形成方式係對應於覆蓋層60的形成方式。在本發明其他實施例中,會先形成遮罩層60”,接著於遮罩層60”上方形成遮罩層52”。上述各別的製程可與第11、12和9A至10圖所示的製程相同,且遮罩層60”的形成方式係對應於覆蓋層60’的形成方式,而遮罩層52”的形成方式係對應於硬遮罩層52’的形成方式。遮罩層52”和遮罩層60”的組合會留下介電層50的部分50A未被覆蓋。可以得知相較於第15圖,在第20圖中,在SRAM晶胞10的中間沒有小的遮罩層(圖案)60”(第15圖)。由於在一些實施例中,在SRAM晶胞10的中間難以形成小的遮罩層(圖案)60”(第15圖),所以一開始形成未連接的開口58A會降低製程困難度。
在後續步驟中,蝕刻介電層50以形成開口,之後
於填充上述開口以形成如第21圖中所示的接觸插塞64。如第20和21圖中所示,開口58A結合遮罩層60”會縮短接觸插塞64C、64D。並且,開口58B結合遮罩層60”會縮短接觸插塞。接觸插塞64C係內部連接至下拉電晶體PD-1和上拉電晶體PU-1的汲極區,以形成資料節點110(參考第1圖)的一部分。接觸插塞64D係內部連接至下拉電晶體PD-2和上拉電晶體PU-2的汲極區,以形成資料節點112(參考第1圖)的一部分。換句話說,接觸插塞64C與第10圖中的接觸插塞64A1、64A2和金屬連接物66A進行相同的功能,而接觸插塞64D與第10圖中的接觸插塞64B1、64B2和金屬連接物66B進行相同的功能。因此,雖然遮罩層60”具有較第7A圖中的遮罩層60更複雜的佈局,而在使用第19-21圖中的實施例時,可省略第10圖中的金屬連接物66A、66B的製程。
第22至24圖係顯示本發明其他實施例之SRAM晶胞10的製造方法的中間製程步驟的俯視圖。除了第22至24圖的實施例包括開口58A的開口58並未延伸至SRAM晶胞10的任何邊界之外,第22至24圖顯示的這些實施例係類似於第19至21圖中的實施例。開口58A係彼此隔開,且可對齊至一條直線。開口58A不會延伸至SRAM晶胞10的任何邊界,且因此不會連接至相鄰SRAM晶胞(圖未顯示,請參考第18圖)的開口58A(圖未顯示)。以下會說明簡要的製程。
請參考第22圖,形成上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體PG-1、PG-2,且於上拉電晶體PU-1、PU-2、下拉電晶體PD-1、PD-2、傳送閘電晶體
PG-1、PG-2,且形成介電層50和遮罩層52”,且遮罩層52”中具有開口58(包括開口58A、58B)。如第22圖所示結構的剖面圖實質上與第3B和3C圖所示結構相同。接著,如第23圖所示,於介電層50上方形成遮罩層60”,且圖案化遮罩層60”。如第22和23圖所示,在本發明一些實施例中,會先形成遮罩層52”,且遮罩層52”中包括開口58,接著於遮罩層52”上方形成遮罩層60”。第23圖係顯示各別的遮罩層60”。可以觀察到由於開口58A會先(形成)與SRAM晶胞10的短邊界隔開,所以遮罩層60”不需覆蓋開口58A。在本發明其他實施例中,會先形成遮罩層60”,接著於遮罩層60”上方形成遮罩層52”。上述各別的製程可與第11、12和9A至10圖所示的製程相同,且遮罩層60”的形成方式係對應於覆蓋層60’的形成方式,而遮罩層52”的形成方式係對應於硬遮罩層52’的形成方式。第23和24圖中的後續的製程步驟實質上會各別相同於第20和21圖中的製程步驟,因而在此不再重覆說明。
在本發明實施例中,藉由形成兩個遮罩層,例如一硬遮罩層和一覆蓋層,可以緩和微影製程的限制。舉例來說,請參考第16圖,接觸插塞64C具有線末端67A,其面對於接觸插塞64D的線末端67B,其中線末端67A和67B彼此接近,且相距一非常小的間距S1,上述間距S1可約介於20nm至50nm之間。如果使用習知的微影製程,例如間距S1會導致線末端縮短或線末端對線末端橋接等問題。當使用鰭式電晶體來做為SRAM晶胞時,會因為鰭式電晶體的窄主動區需要長接觸,所以會更加惡化上述問題。然而,在本發明實施例中,接觸製程
會形成寬及/或長遮罩層圖案,而非習知技術的窄和短的圖案。因此會降低線末短縮(line-end shortening)或線末對線末橋接(line-end to line-end bridging)等問題。
本發明一些實施例中,一種靜態隨機存取記憶體裝置的製造方法係包括於一靜態隨機存取記憶體晶胞上方形成一介電層。上述靜態隨機存取記憶體晶胞包括一第一上拉電晶體和一第二上拉電晶體;一第一下拉電晶體和一第二下拉電晶體,與上述第一上拉電晶體和上述第二上拉電晶體形成一交叉鎖定反相器;一第一傳送閘電晶體和一第二傳送閘電晶體,分別連接至上述第一上拉電晶體和上述第一下拉電晶體的汲極以及上述第二上拉電晶體和上述第二下拉電晶體的汲極。於上述介電層上方形成和圖案化一第一遮罩層。於上述介電層上方形成一第二遮罩層。利用上述第一遮罩層和上述第二遮罩層結合而成的一蝕刻遮罩,蝕刻上述介電層,其中於上述介電層中形成一接觸開口。於上述接觸開口中形成一接觸插塞。
本發明其他實施例中,一種靜態隨機存取記憶體裝置的製造方法係包括形成一靜態隨機存取記憶體晶胞,其包括複數個閘極和複數個主動區條狀物,其中上述些主動區條狀物與上述些閘極係形成電晶體;於上述些閘極與上述些主動區條狀物上方形成一介電層;於上述介電層上方形成一第一遮罩層,其中上述第一遮罩層覆蓋上述介電層的第一部分,且上述介電層的第二部分從上述第一遮罩層中的開口中暴露出來;形成一第二遮罩層,其中上述第二遮罩層包括填充部分上述第一遮罩層中的上述些開口的部分;利用上述第一遮罩層和上述第
二遮罩層成為的一蝕刻遮罩,蝕刻上述介電層,以於上述介電層中形成複數個接觸開口。於上述些接觸開口中形成複數個接觸插塞。
本發明其他實施例中,提供一種靜態隨機存取記憶體裝置的佈局,其用於一非暫時性電腦可讀取媒體上,上述靜態隨機存取記憶體裝置的佈局包括複數個閘極的一第一複數個佈局圖案;複數個鰭線的一第二複數個佈局圖案,其中上述第一複數個佈局圖案和上述第二複數個佈局圖案為:包括一第一和一第二下拉電晶體和一第一和一第二下拉電晶體的複數個交叉鎖定反相器;以及兩個傳送閘電晶體,耦接至上述些交叉鎖定反相器。上述靜態隨機存取記憶體裝置的佈局更包括一第一遮罩層的一第三複數個佈局圖案的部分;一第二遮罩層的一第四複數個佈局圖案,其中上述第三複數個佈局圖案的部分並未重疊於上述第四複數個佈局圖案,且第四複數個佈局圖案包括上述靜態隨機存取記憶體裝置的接觸插塞圖案。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何該發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定為準。
10‧‧‧靜態隨機存取記憶體晶胞
14、34‧‧‧主動區
20、40‧‧‧主動區
64、64A1、64A2、64B1、64B2‧‧‧接觸插塞
66、66A、66B‧‧‧金屬連接物
PD-1、PD-2‧‧‧下拉電晶體
PG-1、PG-2‧‧‧傳送閘電晶體
PU-1、PU-2‧‧‧上拉電晶體
Claims (10)
- 一種靜態隨機存取記憶體裝置的製造方法,包括下列步驟:於一靜態隨機存取記憶體晶胞上方形成一介電層,其中該靜態隨機存取記憶體晶胞包括:一第一上拉電晶體和一第二上拉電晶體;一第一下拉電晶體和一第二下拉電晶體,其中該第一下拉電晶體、該第二下拉電晶體、該第一上拉電晶體和該第二上拉電晶體形成一交叉鎖定反相器;一第一傳送閘電晶體和一第二傳送閘電晶體,分別連接至該第一上拉電晶體和該第一下拉電晶體的汲極以及該第二上拉電晶體和該第二下拉電晶體的汲極;於該介電層上方形成和圖案化一第一遮罩層,其中於該介電層上方形成和圖案化該第一遮罩層包括:圖案化設置於該第一遮罩層上方的一光阻材料;以及蝕刻該第一遮罩層以將一圖案從該圖案化光阻材料轉移至該第一遮罩層,使被蝕刻的該第一遮罩層包括一開口;於該介電層上方形成一圖案化第二遮罩層,其中該圖案化第二遮罩層的一長軸方向垂直於該開口的一長軸方向;使用該第一遮罩層和該第二遮罩層組合做為一蝕刻遮罩,蝕刻該介電層,其中於該介電層中形成一接觸開口;以及於該接觸開口中形成一接觸插塞。
- 如申請專利範圍第1項所述之靜態隨機存取記憶體裝置的製造方法,其中圖案化該第一遮罩層之後,該第一遮罩層係形成一連續層,其中該連續層中具有一第一長接觸開口 和一第二長接觸開口,其中該第一長接觸開口具有平行於該靜態隨機存取記憶體晶胞的一長邊的一縱向方向,且其中該第一長接觸開口具有一長度,該第一長接觸開口的該長度大於或等於該長邊的一長度,且其中該第二長接觸開口具有平行於該靜態隨機存取記憶體晶胞的該長邊的一縱向方向,且其中該第二長接觸開口具有一長度,該第二長接觸開口的該長度小於該長邊的該長度。
- 如申請專利範圍第2項所述之靜態隨機存取記憶體裝置的製造方法,其中該第二長接觸開口延伸至該靜態隨機存取記憶體晶胞的一邊界。
- 如申請專利範圍第2項所述之靜態隨機存取記憶體裝置的製造方法,其中該第二長接觸開口並未延伸至該靜態隨機存取記憶體晶胞的任何邊界。
- 如申請專利範圍第1項所述之靜態隨機存取記憶體裝置的製造方法,其中當形成該接觸插塞時,同時形成一額外接觸插塞,其中該接觸插塞係位於該第一下拉電晶體的該汲極上方且連接至該第一下拉電晶體的該汲極,且該額外接觸插塞位於該第一上拉電晶體的該汲極上方且連接至該第一上拉電晶體的該汲極,且其中該接觸插塞和該額外接觸插塞彼此隔開。
- 如申請專利範圍第1項所述之靜態隨機存取記憶體裝置的製造方法,其中該接觸插塞係連續延伸於該第一下拉電晶體的該汲極和該第一上拉電晶體的該汲極的上方,且內部連線至該第一下拉電晶體的該汲極和該第一上拉電晶體的 該汲極。
- 一種靜態隨機存取記憶體裝置的佈局,其用於一非暫時性電腦可讀取媒體上,該靜態隨機存取記憶體裝置的佈局包括:複數個閘極的一第一複數個佈局圖案;複數個鰭線的一第二複數個佈局圖案,其中該第一複數個佈局圖案和該第二複數個佈局圖案為:包括一第一和一第二下拉電晶體和一第一和一第二下拉電晶體的複數個交叉鎖定反相器;兩個傳送閘電晶體,耦接至該些交叉鎖定反相器的部分;一第一遮罩層的一第三複數個佈局圖案;以及一第二遮罩層的一第四複數個佈局圖案,其中並未重疊於該第四複數個佈局圖案的部分該第三複數個佈局圖案包括該靜態隨機存取記憶體裝置的接觸插塞圖案,其中該第四複數個佈局圖案的一長軸方向垂直於該第三複數個佈局圖案的一長軸方向。
- 如申請專利範圍第7項所述之靜態隨機存取記憶體裝置的佈局,其中該第三複數個佈局圖案包括:一第一開口圖案,與該靜態隨機存取記憶體晶胞的一第一邊界重疊,且該第一開口圖案具有平行於該靜態隨機存取記憶體晶胞的該第一邊界的一縱向方向;以及一第二開口圖案,與該靜態隨機存取記憶體晶胞的一第二邊界重疊,且該第二開口圖案具有平行於該靜態隨機存取記憶體晶胞的該第二邊界的一縱向方向,且該第一邊界和 該第二邊界彼此平行。
- 如申請專利範圍第8項所述之靜態隨機存取記憶體裝置的佈局,其中該第一開口圖案從該靜態隨機存取記憶體晶胞的一第三邊界延伸至該靜態隨機存取記憶體晶胞的一第四邊界,且該第三邊界和該第四邊界垂直於該第一邊界。
- 如申請專利範圍第7項所述之靜態隨機存取記憶體裝置的佈局,其中該第一複數個佈局圖案的長度不短於該靜態隨機存取記憶體晶胞的一各別長度。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/691,367 US9236300B2 (en) | 2012-11-30 | 2012-11-30 | Contact plugs in SRAM cells and the method of forming the same |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201421272A TW201421272A (zh) | 2014-06-01 |
TWI518533B true TWI518533B (zh) | 2016-01-21 |
Family
ID=50824632
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102141598A TWI518533B (zh) | 2012-11-30 | 2013-11-15 | 靜態隨機存取記憶體裝置的製造方法及其佈局 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9236300B2 (zh) |
KR (1) | KR101553438B1 (zh) |
CN (1) | CN103855097B (zh) |
TW (1) | TWI518533B (zh) |
Families Citing this family (761)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9773696B2 (en) | 2014-01-24 | 2017-09-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US10177133B2 (en) | 2014-05-16 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including source/drain contact having height below gate stack |
US9202820B1 (en) * | 2014-05-28 | 2015-12-01 | Pdf Solutions, Inc | Flip-flop, latch, and mux cells for use in a standard cell library and integrated circuits made therefrom |
US9966471B2 (en) | 2014-06-27 | 2018-05-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked Gate-All-Around FinFET and method forming the same |
US9608116B2 (en) | 2014-06-27 | 2017-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFETs with wrap-around silicide and method forming the same |
US9418728B2 (en) * | 2014-07-24 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dual-port static random-access memory cell |
US9614088B2 (en) | 2014-08-20 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate structure and manufacturing method thereof |
CN105514044B (zh) * | 2014-09-26 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9437484B2 (en) | 2014-10-17 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch stop layer in integrated circuits |
US9418896B2 (en) * | 2014-11-12 | 2016-08-16 | Samsung Electronics Co., Ltd. | Semiconductor device and fabricating method thereof |
US9508858B2 (en) | 2014-11-18 | 2016-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contacts for highly scaled transistors |
US9466494B2 (en) | 2014-11-18 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective growth for high-aspect ration metal fill |
CN105719688B (zh) * | 2014-12-04 | 2019-03-29 | 中芯国际集成电路制造(上海)有限公司 | Sram存储器和形成sram存储器的方法 |
US9412817B2 (en) | 2014-12-19 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide regions in vertical gate all around (VGAA) devices and methods of forming same |
US9613850B2 (en) | 2014-12-19 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique for feature cut by line-end shrink |
US9876114B2 (en) | 2014-12-30 | 2018-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D FinFET metal gate |
US9859115B2 (en) | 2015-02-13 | 2018-01-02 | National Taiwan University | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US9673112B2 (en) | 2015-02-13 | 2017-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor fabrication with height control through active region profile |
US9741452B2 (en) | 2015-02-23 | 2017-08-22 | Qualcomm Incorporated | Read-assist circuits for memory bit cells employing a P-type field-effect transistor (PFET) read port(s), and related memory systems and methods |
US10026456B2 (en) | 2015-02-23 | 2018-07-17 | Qualcomm Incorporated | Bitline positive boost write-assist circuits for memory bit cells employing a P-type Field-Effect transistor (PFET) write port(s), and related systems and methods |
US9502502B2 (en) | 2015-03-16 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
KR102307467B1 (ko) * | 2015-03-20 | 2021-09-29 | 삼성전자주식회사 | 액티브 핀을 포함하는 반도체 장치 |
US9698048B2 (en) | 2015-03-27 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device |
KR102316119B1 (ko) * | 2015-04-02 | 2021-10-21 | 삼성전자주식회사 | 반도체 장치 |
US9768261B2 (en) | 2015-04-17 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
KR102400375B1 (ko) * | 2015-04-30 | 2022-05-20 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9576796B2 (en) | 2015-05-15 | 2017-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9741829B2 (en) | 2015-05-15 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9761683B2 (en) | 2015-05-15 | 2017-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10062779B2 (en) | 2015-05-22 | 2018-08-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
KR102449901B1 (ko) * | 2015-06-23 | 2022-09-30 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9685368B2 (en) | 2015-06-26 | 2017-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure having an etch stop layer over conductive lines |
US10403744B2 (en) | 2015-06-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US11424399B2 (en) | 2015-07-07 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated thermoelectric devices in Fin FET technology |
US9418886B1 (en) | 2015-07-24 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming conductive features |
US9536980B1 (en) | 2015-07-28 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacers and methods of forming same |
US9564363B1 (en) | 2015-08-19 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming butted contact |
US9698100B2 (en) | 2015-08-19 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for interconnection |
US9721887B2 (en) | 2015-08-19 | 2017-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Method of forming metal interconnection |
US9831090B2 (en) | 2015-08-19 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor device having gate spacer protection layer |
US9728402B2 (en) | 2015-08-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Flowable films and methods of forming flowable films |
US9786602B2 (en) | 2015-08-21 | 2017-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of fabrication the same |
US9490136B1 (en) | 2015-08-31 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trench cut |
US9613856B1 (en) | 2015-09-18 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9972529B2 (en) | 2015-09-28 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US10163797B2 (en) | 2015-10-09 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming interlayer dielectric material by spin-on metal oxide deposition |
US9735052B2 (en) | 2015-10-12 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal lines for interconnect structure and method of manufacturing same |
US9711533B2 (en) | 2015-10-16 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof |
US9659864B2 (en) | 2015-10-20 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for forming self-aligned via with selectively deposited etching stop layer |
US9647116B1 (en) | 2015-10-28 | 2017-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating self-aligned contact in a semiconductor device |
US9627531B1 (en) | 2015-10-30 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field-effect transistor with dual vertical gates |
US9818690B2 (en) | 2015-10-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned interconnection structure and method |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US10164051B2 (en) | 2015-11-16 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9899387B2 (en) | 2015-11-16 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9633999B1 (en) | 2015-11-16 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor mid-end-of-line (MEOL) process |
US10340348B2 (en) | 2015-11-30 | 2019-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing finFETs with self-align contacts |
US9773879B2 (en) | 2015-11-30 | 2017-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9873943B2 (en) | 2015-12-15 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for spatial atomic layer deposition |
US9954081B2 (en) | 2015-12-15 | 2018-04-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US10163719B2 (en) | 2015-12-15 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming self-alignment contact |
US9728501B2 (en) | 2015-12-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
DE102016116026B4 (de) | 2015-12-29 | 2024-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung und Herstellungsverfahren |
US10163704B2 (en) | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9887128B2 (en) | 2015-12-29 | 2018-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for interconnection |
US9899269B2 (en) | 2015-12-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd | Multi-gate device and method of fabrication thereof |
US11088030B2 (en) | 2015-12-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9614086B1 (en) | 2015-12-30 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conformal source and drain contacts for multi-gate field effect transistors |
US10115796B2 (en) | 2016-01-07 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of pulling-back sidewall metal layer |
US10811262B2 (en) | 2016-01-14 | 2020-10-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof |
US9881872B2 (en) | 2016-01-15 | 2018-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating a local interconnect in a semiconductor device |
US10727094B2 (en) | 2016-01-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Thermal reflector device for semiconductor fabrication tool |
US10283605B2 (en) | 2016-01-29 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd | Self-aligned metal gate etch back process and device |
US10163912B2 (en) | 2016-01-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for semiconductor device fabrication with improved source drain proximity |
US9722081B1 (en) | 2016-01-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device and method of forming the same |
US9812451B2 (en) | 2016-02-03 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd | Field effect transistor contact with reduced contact resistance |
US9847330B2 (en) | 2016-02-05 | 2017-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US9768170B2 (en) | 2016-02-05 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
US9543161B1 (en) | 2016-02-10 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of planarizating film |
US9947756B2 (en) | 2016-02-18 | 2018-04-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US9754822B1 (en) | 2016-03-02 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method |
US9570556B1 (en) | 2016-03-03 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9755019B1 (en) | 2016-03-03 | 2017-09-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10056407B2 (en) | 2016-03-04 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10109627B2 (en) | 2016-03-08 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric |
US9711402B1 (en) | 2016-03-08 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact metal |
US9911611B2 (en) | 2016-03-17 | 2018-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming openings in a material layer |
US9779984B1 (en) | 2016-03-25 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming trenches with different depths |
DE102016114724B4 (de) | 2016-03-25 | 2021-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US9847477B2 (en) | 2016-04-12 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a bottom electrode of a magnetoresistive random access memory cell |
US9805951B1 (en) | 2016-04-15 | 2017-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of integration process for metal CMP |
US9893062B2 (en) | 2016-04-28 | 2018-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10475847B2 (en) | 2016-04-28 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having stress-neutralized film stack and method of fabricating same |
US9691775B1 (en) * | 2016-04-28 | 2017-06-27 | Globalfoundries Inc. | Combined SADP fins for semiconductor devices and methods of making the same |
US9899266B2 (en) | 2016-05-02 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US11127629B2 (en) | 2016-05-17 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and fabricating method thereof |
US9917085B2 (en) | 2016-05-31 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate isolation structure and method forming same |
US10276662B2 (en) | 2016-05-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming contact trench |
US9941386B2 (en) | 2016-06-01 | 2018-04-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with fin structure and method for forming the same |
US10109467B2 (en) | 2016-06-01 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Advanced exhaust system |
US9627258B1 (en) | 2016-06-15 | 2017-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact |
US10164032B2 (en) | 2016-06-17 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
US10515822B2 (en) | 2016-06-20 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing bottom layer wrinkling in a semiconductor device |
US10008414B2 (en) | 2016-06-28 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for widening Fin widths for small pitch FinFET devices |
US10685873B2 (en) | 2016-06-29 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer for semiconductor devices |
US9768064B1 (en) | 2016-07-14 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US9640540B1 (en) | 2016-07-19 | 2017-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an SRAM circuit |
US10121873B2 (en) | 2016-07-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate and contact plug design and method forming same |
US9721805B1 (en) | 2016-07-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US10199500B2 (en) | 2016-08-02 | 2019-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layer film device and method |
US10510850B2 (en) | 2016-08-03 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10522536B2 (en) | 2016-08-03 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with gate stacks |
US10164111B2 (en) | 2016-08-03 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US10043886B2 (en) | 2016-08-03 | 2018-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate formation through etch back process |
US9929271B2 (en) | 2016-08-03 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US9991205B2 (en) | 2016-08-03 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10269926B2 (en) | 2016-08-24 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Purging deposition tools to reduce oxygen and moisture in wafers |
US9997524B2 (en) | 2016-08-24 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device and manufacturing method thereof |
US9865697B1 (en) | 2016-08-25 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US9812358B1 (en) | 2016-09-14 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US9865589B1 (en) | 2016-10-31 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of fabricating ESD FinFET with improved metal landing in the drain |
US10049930B2 (en) | 2016-11-28 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and operation method thereof |
US10700181B2 (en) | 2016-11-28 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (finFET) device structure and method for forming the same |
US10043665B2 (en) * | 2016-11-28 | 2018-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure with semiconductor nanowire |
US10326003B2 (en) | 2016-11-28 | 2019-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming |
US10290546B2 (en) | 2016-11-29 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage adjustment for a gate-all-around semiconductor structure |
US10510598B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned spacers and method forming same |
US9881834B1 (en) | 2016-11-29 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact openings and methods forming same |
US9985134B1 (en) | 2016-11-29 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming FinFETs |
US10553720B2 (en) | 2016-11-29 | 2020-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of removing an etch mask |
US10510851B2 (en) * | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low resistance contact method and structure |
DE102017118364B4 (de) | 2016-11-29 | 2021-10-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen |
US10008497B2 (en) | 2016-11-29 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US9837539B1 (en) | 2016-11-29 | 2017-12-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming |
US10269906B2 (en) | 2016-11-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having two spacers |
US10008416B2 (en) | 2016-11-30 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming a protective layer to prevent formation of leakage paths |
US10707316B2 (en) | 2016-12-09 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with gate structure |
US10453741B2 (en) | 2016-12-13 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device contact |
US10037912B2 (en) | 2016-12-14 | 2018-07-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10522642B2 (en) | 2016-12-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co. Ltd. | Semiconductor device with air-spacer |
US10157781B2 (en) | 2016-12-14 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor structure using polishing process |
US9865595B1 (en) | 2016-12-14 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same |
DE102017113681A1 (de) | 2016-12-14 | 2018-06-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-bauelement mit luft-abstandshalter |
US10651171B2 (en) | 2016-12-15 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co. Ltd. | Integrated circuit with a gate structure and method making the same |
US10269646B2 (en) | 2016-12-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10879370B2 (en) | 2016-12-15 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etching back and selective deposition of metal gate |
US9972571B1 (en) | 2016-12-15 | 2018-05-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Logic cell structure and method |
US11476349B2 (en) | 2016-12-15 | 2022-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
DE102017117794A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Zurückätzen und selektive abscheidung eines metall-gates |
US10497811B2 (en) | 2016-12-15 | 2019-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
DE102017127208A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-strukturen und verfahren zu deren herstellung |
US10079289B2 (en) | 2016-12-22 | 2018-09-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
US10164106B2 (en) | 2016-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10121675B2 (en) | 2016-12-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10325911B2 (en) | 2016-12-30 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10516030B2 (en) | 2017-01-09 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US9985023B1 (en) | 2017-02-21 | 2018-05-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure |
US9859364B1 (en) | 2017-03-03 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10304945B2 (en) | 2017-03-24 | 2019-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-speed semiconductor device and method for forming the same |
US10950605B2 (en) | 2017-03-24 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10090325B1 (en) | 2017-03-31 | 2018-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit cells having separated gate electrodes |
US10355095B2 (en) | 2017-03-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with composite gate helmet |
US10153198B2 (en) | 2017-04-07 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-resistance contact plugs and method forming same |
US10056473B1 (en) | 2017-04-07 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10312332B2 (en) | 2017-04-18 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10269621B2 (en) | 2017-04-18 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US10186456B2 (en) | 2017-04-20 | 2019-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming contact plugs with reduced corrosion |
US10062784B1 (en) | 2017-04-20 | 2018-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned gate hard mask and method forming same |
US10872980B2 (en) | 2017-04-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10373879B2 (en) * | 2017-04-26 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contracted isolation feature and formation method thereof |
US10522643B2 (en) | 2017-04-26 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate |
US10522417B2 (en) | 2017-04-27 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with different liners for PFET and NFET and method of fabricating thereof |
US10157997B2 (en) | 2017-04-27 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming the same |
US10332786B2 (en) | 2017-04-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing a semiconductor device |
DE102017122702B4 (de) | 2017-04-28 | 2023-11-09 | Taiwan Semiconductor Manufacturing Co. Ltd. | Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt |
US10115825B1 (en) | 2017-04-28 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with asymmetric contact |
US10170318B2 (en) | 2017-04-28 | 2019-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
US10141225B2 (en) | 2017-04-28 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gates of transistors having reduced resistivity |
US10304728B2 (en) * | 2017-05-01 | 2019-05-28 | Advanced Micro Devices, Inc. | Double spacer immersion lithography triple patterning flow and method |
US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10332965B2 (en) | 2017-05-08 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10050149B1 (en) | 2017-05-18 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure for semiconductor device |
US10269636B2 (en) | 2017-05-26 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10163621B1 (en) | 2017-05-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for FinFET devices |
US10522392B2 (en) | 2017-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US9991268B1 (en) | 2017-06-08 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell structure |
US10283414B2 (en) | 2017-06-20 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation manufacturing method for semiconductor structures |
US11545495B2 (en) * | 2017-06-29 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Preventing gate-to-contact bridging by reducing contact dimensions in FinFET SRAM |
US11334703B2 (en) | 2017-06-29 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit layouts with fill feature shapes |
US10720358B2 (en) | 2017-06-30 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a liner layer with a configured profile and method of fabricating thereof |
DE102018104944A1 (de) | 2017-06-30 | 2019-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung |
US10468529B2 (en) | 2017-07-11 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with etch stop layer |
US10157988B1 (en) * | 2017-07-18 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same |
US10290635B2 (en) | 2017-07-26 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Buried interconnect conductor |
US10283623B2 (en) | 2017-07-27 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate stacks |
US10685884B2 (en) | 2017-07-31 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including a Fin-FET and method of manufacturing the same |
DE102017126027B4 (de) | 2017-07-31 | 2022-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metallgatestruktur und Verfahren |
US10510875B2 (en) | 2017-07-31 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain structure with reduced contact resistance and enhanced mobility |
US10283503B2 (en) | 2017-07-31 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
US10269624B2 (en) | 2017-07-31 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods of forming same |
US10515850B2 (en) | 2017-08-25 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and IC design with non-linear power rails |
US10403714B2 (en) | 2017-08-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fill fins for semiconductor devices |
US10685880B2 (en) | 2017-08-30 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for reducing contact depth variation in semiconductor fabrication |
US10535654B2 (en) | 2017-08-30 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate with slanted sidewalls |
US10446555B2 (en) | 2017-08-31 | 2019-10-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal track and methods forming same |
US10411020B2 (en) * | 2017-08-31 | 2019-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication |
US10515896B2 (en) | 2017-08-31 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for semiconductor device and methods of fabrication thereof |
US10535525B2 (en) | 2017-08-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10164053B1 (en) | 2017-08-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10276720B2 (en) | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming fin field effect transistor (FINFET) device structure |
US10475654B2 (en) | 2017-08-31 | 2019-11-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact plug and method manufacturing same |
US10453753B2 (en) | 2017-08-31 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET |
US10374058B2 (en) | 2017-09-15 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10361133B2 (en) | 2017-09-18 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-K metal gate and method for fabricating the same |
US10700177B2 (en) | 2017-09-27 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with low resistivity contact structure and method for forming the same |
US10868181B2 (en) | 2017-09-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with blocking layer and method for forming the same |
US10686074B2 (en) | 2017-09-28 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same |
US10636673B2 (en) | 2017-09-28 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10930595B2 (en) * | 2017-09-28 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Standard cells having via rail and deep via structures |
US10074558B1 (en) | 2017-09-28 | 2018-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with controlled air gaps |
US10157790B1 (en) | 2017-09-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10515687B2 (en) | 2017-09-28 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strap cell design for static random access memory (SRAM) array |
US10763104B2 (en) | 2017-09-28 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming differential etch stop layer using directional plasma to activate surface on device structure |
US10509334B2 (en) | 2017-09-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods and apparatus for removing contamination from lithographic tool |
US10276697B1 (en) | 2017-10-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance FET with improved reliability performance |
US10522557B2 (en) | 2017-10-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface topography by forming spacer-like components |
US10217815B1 (en) | 2017-10-30 | 2019-02-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit device with source/drain barrier |
US10347720B2 (en) | 2017-10-30 | 2019-07-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Doping for semiconductor device with conductive feature |
US10211206B1 (en) * | 2017-11-01 | 2019-02-19 | Globalfoundries Inc. | Two-port vertical SRAM circuit structure and method for producing the same |
US10872762B2 (en) | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
US10403551B2 (en) | 2017-11-08 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain features with an etch stop layer |
US10367078B2 (en) | 2017-11-09 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and FinFET devices having shielding layers |
US10439135B2 (en) | 2017-11-09 | 2019-10-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | VIA structure and methods of forming the same |
DE102018107038B4 (de) | 2017-11-09 | 2022-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung einer durchkontaktierungsstruktur |
US10680084B2 (en) | 2017-11-10 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial structures for fin-like field effect transistors |
US10629708B2 (en) | 2017-11-14 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with barrier layer and method for forming the same |
US10727178B2 (en) | 2017-11-14 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure and methods thereof |
US10283624B1 (en) | 2017-11-14 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US10515948B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including vertical routing structure and method for manufacturing the same |
US10468527B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods of fabricating thereof |
US10396184B2 (en) | 2017-11-15 | 2019-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device fins |
US10515809B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective high-K formation in gate-last process |
US10366915B2 (en) | 2017-11-15 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices with embedded air gaps and the fabrication thereof |
US10964590B2 (en) * | 2017-11-15 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact metallization process |
US10468530B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with source/drain multi-layer structure and method for forming the same |
US10170322B1 (en) | 2017-11-16 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition based process for contact barrier layer |
US10629693B2 (en) | 2017-11-17 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with barrier layer and method for forming the same |
US10658508B2 (en) | 2017-11-17 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with low resistance contact |
US10978351B2 (en) | 2017-11-17 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer between substrate and isolation structure |
US10727117B2 (en) | 2017-11-20 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
US11037924B2 (en) | 2017-11-21 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10504990B2 (en) | 2017-11-21 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation features and methods of fabricating the same |
US10418453B2 (en) | 2017-11-22 | 2019-09-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming metal contacts on metal gates |
US10867986B2 (en) | 2017-11-24 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having fin structure |
US10658362B2 (en) | 2017-11-27 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor component and fabricating method thereof |
US10332789B2 (en) | 2017-11-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with TiN adhesion layer for forming a contact plug |
US10714475B2 (en) | 2017-11-27 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10790142B2 (en) | 2017-11-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective capping processes and structures formed thereby |
US10777466B2 (en) | 2017-11-28 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Fin cutting process and structures formed thereby |
US10714334B2 (en) | 2017-11-28 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10312089B1 (en) | 2017-11-29 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for controlling an end-to-end distance in semiconductor device |
US10510838B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US10840376B2 (en) | 2017-11-29 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method with enhanced gate contact and threshold voltage |
DE102018104004B4 (de) | 2017-11-29 | 2021-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-Struktur und Verfahren mit verbessertem Gate-Kontakt und verbesserter Schwellenspannung |
US10164048B1 (en) | 2017-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10515849B2 (en) | 2017-11-30 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device, interconnection structure and method for forming the same |
US10177038B1 (en) | 2017-11-30 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prevention of contact bottom void in semiconductor fabrication |
US10361120B2 (en) | 2017-11-30 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10366982B2 (en) | 2017-11-30 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure with embedded memory device and contact isolation scheme |
US10867833B2 (en) | 2017-11-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal for FinFET device and method |
US10861745B2 (en) | 2017-11-30 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
DE102018128925B4 (de) | 2017-11-30 | 2024-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung |
US10510894B2 (en) | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent FinFET devices |
US10319581B1 (en) | 2017-11-30 | 2019-06-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate process for reducing transistor spacing |
US10804180B2 (en) | 2017-11-30 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10460994B2 (en) | 2017-11-30 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Residue-free metal gate cutting for fin-like field effect transistor |
US11011618B2 (en) | 2017-11-30 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit devices with gate seals |
DE102018102448B4 (de) | 2017-11-30 | 2023-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung und Struktur leitfähiger Merkmale |
US10847413B2 (en) | 2017-11-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact plugs for semiconductor device |
KR102374206B1 (ko) * | 2017-12-05 | 2022-03-14 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US10756114B2 (en) | 2017-12-28 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor circuit with metal structure and manufacturing method |
US10608094B2 (en) | 2018-01-23 | 2020-03-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10651292B2 (en) | 2018-02-19 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal via for contact resistance reduction |
US10461078B2 (en) | 2018-02-26 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Creating devices with multiple threshold voltage by cut-metal-gate process |
US10867851B2 (en) | 2018-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and semiconductor device and method of forming the same |
US10535748B2 (en) | 2018-03-01 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact with a silicide region |
US11031286B2 (en) | 2018-03-01 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10475702B2 (en) | 2018-03-14 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure using bottom-up filling deposition |
US10290535B1 (en) | 2018-03-22 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit fabrication with a passivation agent |
US10867844B2 (en) | 2018-03-28 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wet cleaning with tunable metal recess for VIA plugs |
US10804140B2 (en) | 2018-03-29 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect formation and structure |
US10854615B2 (en) | 2018-03-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having non-merging epitaxially grown source/drains |
US10629492B2 (en) | 2018-04-27 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure having a dielectric gate and methods thereof |
US10699943B2 (en) | 2018-04-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contacts in a semiconductor device |
US10867848B2 (en) | 2018-04-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10685966B2 (en) | 2018-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with contacting gate structures |
US10529414B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell having SiGe PMOS fin lines |
US10529860B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with contact over dielectric gate |
US10504775B1 (en) | 2018-05-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal layer structures in semiconductor devices |
US11107902B2 (en) | 2018-06-25 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric spacer to prevent contacting shorting |
US10665506B2 (en) | 2018-06-27 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced via bridging risk |
US10950434B2 (en) | 2018-06-27 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing gate spacer loss during semiconductor manufacturing |
US11302535B2 (en) | 2018-06-27 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Performing annealing process to improve fin quality of a FinFET semiconductor |
US10840153B2 (en) | 2018-06-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Notched gate structure fabrication |
US11694933B2 (en) | 2018-06-28 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal gate spacer |
US10665673B2 (en) | 2018-06-28 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure with non-gated well tap cell |
US11410890B2 (en) | 2018-06-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial layers in source/drain contacts and methods of forming the same |
US10790352B2 (en) | 2018-06-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | High density capacitor implemented using FinFET |
US10388771B1 (en) | 2018-06-28 | 2019-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for forming cut-metal-gate feature |
US10755917B2 (en) | 2018-06-29 | 2020-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Treatment for adhesion improvement |
US11081403B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US11315933B2 (en) | 2018-06-29 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and method for forming the same |
US10868128B2 (en) | 2018-06-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same |
US11018053B2 (en) | 2018-06-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with material modification and low resistance plug |
US10468500B1 (en) | 2018-06-29 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET fabrication methods |
US11081356B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for metal gate cut and structure thereof |
US11244898B2 (en) | 2018-06-29 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit interconnect structures with air gaps |
US11127631B2 (en) | 2018-07-13 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contact structures |
US10541175B1 (en) | 2018-07-13 | 2020-01-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US10949597B2 (en) | 2018-07-16 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Through-silicon vias in integrated circuit packaging |
US10672870B2 (en) | 2018-07-16 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10854503B2 (en) | 2018-07-16 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with air gap and method sealing the air gap |
US10755945B2 (en) | 2018-07-16 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal contacts on metal gates and methods thereof |
US11171053B2 (en) | 2018-07-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor device and related methods |
US10840189B2 (en) | 2018-07-30 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit devices having raised via contacts and methods of fabricating the same |
US10854716B2 (en) | 2018-07-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with source/drain contact formed using bottom-up deposition |
US10734474B2 (en) | 2018-07-30 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-insulator-metal structure and methods of fabrication thereof |
US10658237B2 (en) | 2018-07-31 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices |
US11121129B2 (en) | 2018-07-31 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
US11978802B2 (en) | 2018-07-31 | 2024-05-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming the same |
US10790195B2 (en) | 2018-07-31 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Elongated pattern and formation thereof |
US10886226B2 (en) | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co, Ltd. | Conductive contact having staircase barrier layers |
US11031300B2 (en) | 2018-07-31 | 2021-06-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing the same |
US10868184B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US10868182B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and manufacturing method thereof |
US11038059B2 (en) | 2018-07-31 | 2021-06-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11081395B2 (en) | 2018-07-31 | 2021-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor having air gap and method for manufacturing the same |
US11217479B2 (en) | 2018-07-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple metallization scheme |
US11069692B2 (en) | 2018-07-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with dielectric fins |
US10714342B2 (en) * | 2018-07-31 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11031383B2 (en) | 2018-08-14 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10693004B2 (en) | 2018-08-14 | 2020-06-23 | Taiwan Semiconductor Manufactruing Co., Ltd. | Via structure with low resistivity and method for forming the same |
US10797161B2 (en) | 2018-08-14 | 2020-10-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor structure using selective forming process |
US10840342B2 (en) | 2018-08-14 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming source/drain contacts in field-effect transistors |
US10679856B2 (en) | 2018-08-14 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same |
DE102019120821A1 (de) | 2018-08-15 | 2020-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung |
US11062963B2 (en) | 2018-08-15 | 2021-07-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and process of integrated circuit having latch-up suppression |
US11018011B2 (en) | 2018-08-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in semiconductor devices |
US10868020B2 (en) | 2018-08-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Well strap structures and methods of forming the same |
US11222951B2 (en) | 2018-08-31 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain structure and method |
US10868118B2 (en) | 2018-08-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming epitaxial source/drain features in semiconductor devices |
US11043425B2 (en) | 2018-08-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing parasitic capacitance in semiconductor devices |
US10930564B2 (en) | 2018-08-31 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
US10861928B2 (en) | 2018-09-18 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with capacitors |
US10998241B2 (en) | 2018-09-19 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation using a maskless fabrication process flow |
US11101385B2 (en) | 2018-09-19 | 2021-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with air gap and method for forming the same |
US11024721B2 (en) | 2018-09-20 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10923393B2 (en) | 2018-09-24 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contacts and interconnect structures in field-effect transistors |
US11437385B2 (en) | 2018-09-24 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with reduced fin pitch |
US10872891B2 (en) | 2018-09-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate cut features |
US11217585B2 (en) | 2018-09-25 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming dielectric dummy fins with different heights in different regions of a semiconductor device |
US11508827B2 (en) | 2018-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer for a gate structure of a transistor |
US11210447B2 (en) | 2018-09-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices |
US11563167B2 (en) | 2018-09-26 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an MRAM device with a multi-layer top electrode |
US11011636B2 (en) | 2018-09-27 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US11004740B2 (en) | 2018-09-27 | 2021-05-11 | Taiwan Semicondctor Manufacturing Co., Ltd. | Structure and method for interconnection with self-alignment |
US11411090B2 (en) | 2018-09-27 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures for gate-all-around devices and methods of forming the same |
US11374126B2 (en) | 2018-09-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with fin top hard mask and method of forming the same |
US10964816B2 (en) | 2018-09-27 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for boosting performance of FinFETs via strained spacer |
US10636702B2 (en) | 2018-09-27 | 2020-04-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive interconnect structures in integrated circuits |
US10854506B2 (en) | 2018-09-27 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11171209B2 (en) | 2018-09-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11349008B2 (en) | 2018-09-27 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile |
US11031397B2 (en) | 2018-09-27 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells |
US10840133B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with staggered selective growth |
US11121025B2 (en) | 2018-09-27 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layer for side wall passivation |
US10840152B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10937691B2 (en) | 2018-09-27 | 2021-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming an abrasive slurry and methods for chemical-mechanical polishing |
US11069793B2 (en) | 2018-09-28 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers |
US11205714B2 (en) | 2018-09-28 | 2021-12-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy structure at fin cut |
US11222958B2 (en) | 2018-09-28 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor with external ferroelectric structure |
US11257671B2 (en) | 2018-09-28 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system of control of epitaxial growth |
US11024549B2 (en) | 2018-09-28 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10672665B2 (en) | 2018-09-28 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US10923474B2 (en) | 2018-09-28 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having gate-all-around devices |
US11107925B2 (en) | 2018-09-28 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US10950725B2 (en) | 2018-09-28 | 2021-03-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial source/drain structure and method of forming same |
US11069579B2 (en) | 2018-10-19 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10971605B2 (en) | 2018-10-22 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy dielectric fin design for parasitic capacitance reduction |
US11139203B2 (en) | 2018-10-22 | 2021-10-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using mask layers to facilitate the formation of self-aligned contacts and vias |
US11380682B2 (en) | 2018-10-23 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with FinFET gate structures |
US10825721B2 (en) | 2018-10-23 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Insulating cap on contact structure and method for forming the same |
US10847373B2 (en) | 2018-10-23 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming silicide contact in field-effect transistors |
US10840251B2 (en) | 2018-10-25 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method |
US10868018B2 (en) | 2018-10-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and connection |
US10872906B2 (en) | 2018-10-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10937876B2 (en) | 2018-10-26 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain feature to contact interfaces |
US10985022B2 (en) | 2018-10-26 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures having interfacial layers |
US10950729B2 (en) | 2018-10-26 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure with insulating cap |
US10943983B2 (en) | 2018-10-29 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits having protruding interconnect conductors |
US11145544B2 (en) | 2018-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact etchback in room temperature ionic liquid |
US10916550B2 (en) | 2018-10-30 | 2021-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory devices with gate all around transistors |
US10943818B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10998238B2 (en) | 2018-10-31 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with buried interconnect conductors |
US10944009B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating a FinFET device with wrap-around silicide source/drain structure |
US11217486B2 (en) | 2018-10-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10867842B2 (en) | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for shrinking openings in forming integrated circuits |
US11043558B2 (en) | 2018-10-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain metal contact and formation thereof |
US10971408B2 (en) | 2018-10-31 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact air gap formation and structures thereof |
US10957604B2 (en) | 2018-10-31 | 2021-03-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10923598B2 (en) | 2018-11-27 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure and methods of forming the same |
US11476196B2 (en) | 2018-11-27 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with multi-layer dielectric |
US11195951B2 (en) | 2018-11-27 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with self-aligned wavy contact profile and method of forming the same |
US11271094B2 (en) | 2018-11-29 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11264268B2 (en) | 2018-11-29 | 2022-03-01 | Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. | FinFET circuit devices with well isolation |
US11107690B2 (en) | 2018-11-30 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US20200194459A1 (en) * | 2018-12-18 | 2020-06-18 | Vanguard International Semiconductor Corporation | Semiconductor devices and methods for fabricating the same |
US10879400B2 (en) | 2018-12-24 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and method of manufacturing the same |
US10868000B2 (en) | 2019-01-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with epitaxial structure and method for forming the same |
US11685015B2 (en) | 2019-01-28 | 2023-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for performing chemical mechanical polishing |
US10777455B2 (en) | 2019-01-29 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-etching process for forming via opening in semiconductor device structure |
US11823896B2 (en) | 2019-02-22 | 2023-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive structure formed by cyclic chemical vapor deposition |
US10868171B2 (en) | 2019-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate dielectric layer and method for forming the same |
US10535524B1 (en) | 2019-03-11 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tuning threshold voltage through meta stable plasma treatment |
US10872810B2 (en) | 2019-03-14 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11469109B2 (en) | 2019-03-14 | 2022-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having metal contact features and method for forming the same |
US10978354B2 (en) | 2019-03-15 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation |
US11043594B2 (en) | 2019-03-26 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low parasitic resistance contact structure |
KR20200116646A (ko) * | 2019-04-02 | 2020-10-13 | 삼성전자주식회사 | 표준 셀을 포함하는 집적 회로 및 반도체 장치 |
US11101353B2 (en) | 2019-04-17 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11121234B2 (en) | 2019-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked gate spacers |
US10971630B2 (en) | 2019-04-24 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having both gate-all-around devices and planar devices |
US11232943B2 (en) | 2019-04-24 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for semiconductor interconnect |
US11031336B2 (en) | 2019-04-25 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device having contact element of rectangular shape |
US11094695B2 (en) * | 2019-05-17 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device and method of forming the same |
US11069784B2 (en) * | 2019-05-17 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10818768B1 (en) | 2019-05-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming metal cap layers to improve performance of semiconductor structure |
US11183580B2 (en) | 2019-05-30 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US10755964B1 (en) | 2019-05-31 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain isolation structure and methods thereof |
US11342229B2 (en) | 2019-06-13 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a semiconductor device structure having an electrical connection structure |
US11043595B2 (en) | 2019-06-14 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate in memory macro edge and middle strap |
US11264284B2 (en) | 2019-06-20 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10872821B1 (en) | 2019-06-24 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US11245071B2 (en) | 2019-06-25 | 2022-02-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11515197B2 (en) | 2019-07-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and method of forming the semiconductor device |
US11152486B2 (en) | 2019-07-15 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance |
US11476166B2 (en) | 2019-07-30 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers |
US11348839B2 (en) | 2019-07-31 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices with multiple silicide regions |
US11145660B2 (en) | 2019-07-31 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual-port SRAM cell structure |
US11532550B2 (en) | 2019-07-31 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having a multi-layer conductive feature and method making the same |
US11342225B2 (en) | 2019-07-31 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier-free approach for forming contact plugs |
US11335817B2 (en) | 2019-08-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Composite etch stop layers for sensor devices |
US11094788B2 (en) | 2019-08-21 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11152488B2 (en) | 2019-08-21 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same |
US11127639B2 (en) | 2019-08-22 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US20210057273A1 (en) | 2019-08-22 | 2021-02-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier-Less Structures |
US11069811B2 (en) | 2019-08-22 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11189727B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET contacts and method forming same |
US11189531B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US10930590B1 (en) * | 2019-08-23 | 2021-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect device and method |
US11710667B2 (en) | 2019-08-27 | 2023-07-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same |
US11195934B2 (en) | 2019-08-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for bi-layer self-aligned contact |
US11456383B2 (en) | 2019-08-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a contact plug with an air gap spacer |
DE102020114875B4 (de) | 2019-08-30 | 2024-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-vorrichtung und verfahren |
US11158721B2 (en) | 2019-08-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal oxide interlayer structure for nFET and pFET |
KR20210027742A (ko) | 2019-09-03 | 2021-03-11 | 삼성전자주식회사 | 반도체 장치 및 레이아웃 설계 방법 |
US10937652B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure of cut end with self-aligned double patterning |
US10937884B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate spacer with air gap for semiconductor device structure and method for forming the same |
US11239114B2 (en) | 2019-09-16 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced contact resistance and methods of forming the same |
US11227828B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11227950B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming air spacers in semiconductor devices |
US10867863B1 (en) | 2019-09-16 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11302818B2 (en) | 2019-09-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate resistance reduction through low-resistivity conductive layer |
US11282920B2 (en) | 2019-09-16 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gap on gate structure and method for forming the same |
US11114547B2 (en) | 2019-09-17 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with negative capacitance dieletric structures |
US11342231B2 (en) | 2019-09-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device with low threshold voltage |
US11335592B2 (en) | 2019-09-17 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact resistance between via and conductive line |
US11315785B2 (en) | 2019-09-17 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial blocking layer for multi-gate devices and fabrication methods thereof |
US11362212B2 (en) | 2019-09-17 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact interface engineering for reducing contact resistance |
US11469139B2 (en) | 2019-09-20 | 2022-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-up formation of contact plugs |
US11508822B2 (en) | 2019-09-25 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain via having reduced resistance |
US11177344B2 (en) | 2019-09-25 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device with air gap spacer and fabrication methods thereof |
US11621224B2 (en) | 2019-09-26 | 2023-04-04 | Taiwan Semiconductor Manufacturing Co. Ltd. | Contact features and methods of fabricating the same in semiconductor devices |
US11508624B2 (en) | 2019-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with different channel semiconductor materials and method of forming the same |
US11387146B2 (en) | 2019-09-26 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gaps between metal gates and method of forming the same |
US11282935B2 (en) | 2019-09-26 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with protective dielectric layer and method of forming the same |
US11239121B2 (en) | 2019-09-26 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate contacts and methods of forming the same |
US11145765B2 (en) | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with self substrate isolation and methods of forming the same |
US11342222B2 (en) | 2019-09-26 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11443980B2 (en) | 2019-09-27 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device with metal pad extending into top metal layer |
US11581226B2 (en) | 2019-09-27 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with tunable epitaxy structures and method of forming the same |
US11211116B2 (en) | 2019-09-27 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded SRAM write assist circuit |
US11328990B2 (en) | 2019-09-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure having a metal hump for low interface resistance |
CN112582347A (zh) | 2019-09-27 | 2021-03-30 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11271083B2 (en) | 2019-09-27 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, FinFET device and methods of forming the same |
US11587927B2 (en) | 2019-09-27 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Crown bulk for FinFET device |
US11296084B2 (en) | 2019-09-29 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition method, semiconductor device and method of fabricating the same |
US11094796B2 (en) | 2019-09-30 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor spacer structures |
US11289417B2 (en) | 2019-09-30 | 2022-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of forming the same |
US11367479B2 (en) | 2019-09-30 | 2022-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and method |
TWI755874B (zh) | 2019-09-30 | 2022-02-21 | 台灣積體電路製造股份有限公司 | 半導體裝置及其形成方法 |
US11264393B2 (en) | 2019-09-30 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact having a protruding segment |
US11158539B2 (en) | 2019-10-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for barrier-less plug |
US11189708B2 (en) | 2019-10-17 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate stack and method for forming the same |
US11335774B2 (en) | 2019-10-18 | 2022-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure for semiconductor device and method |
US11201229B2 (en) | 2019-10-18 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11037925B2 (en) | 2019-10-18 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method of integrated circuit having decouple capacitance |
US11251305B2 (en) | 2019-10-25 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11322495B2 (en) | 2019-10-28 | 2022-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Complementary metal-oxide-semiconductor device and method of manufacturing the same |
US11264270B2 (en) | 2019-10-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air-replaced spacer for self-aligned contact scheme |
US11251284B2 (en) | 2019-10-29 | 2022-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy gate cutting process and resulting gate structures |
US11145728B2 (en) | 2019-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming same |
US11488857B2 (en) | 2019-10-31 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process |
CN112750761A (zh) | 2019-10-31 | 2021-05-04 | 台湾积体电路制造股份有限公司 | 半导体装置及其制造方法 |
US10964792B1 (en) | 2019-11-22 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal capped via contact structures for semiconductor devices |
US11380781B2 (en) | 2019-12-17 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact and via structures for semiconductor devices |
US11227794B2 (en) | 2019-12-19 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure |
US11233134B2 (en) | 2019-12-19 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistors with dual silicide contact structures |
US11502166B2 (en) | 2019-12-20 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11296187B2 (en) | 2019-12-20 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11728223B2 (en) | 2019-12-20 | 2023-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US11901220B2 (en) | 2019-12-20 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bilayer seal material for air gaps in semiconductor devices |
KR20210082307A (ko) * | 2019-12-24 | 2021-07-05 | 삼성전자주식회사 | 반도체 소자 |
US11276571B2 (en) | 2019-12-26 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of breaking through etch stop layer |
US11488859B2 (en) | 2019-12-27 | 2022-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11508623B2 (en) | 2019-12-31 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Local gate height tuning by CMP and dummy gate design |
US11476365B2 (en) | 2020-01-16 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11495491B2 (en) | 2020-01-16 | 2022-11-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with stacked conductive structures |
US11302692B2 (en) | 2020-01-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same |
US11355615B2 (en) | 2020-01-17 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having fluorine-doped gate sidewall spacers |
US11302577B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US11302784B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having contact feature and method of fabricating the same |
US11244899B2 (en) | 2020-01-17 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Butted contacts and methods of fabricating the same in semiconductor devices |
US11393910B2 (en) | 2020-01-21 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and formation method thereof |
US11201106B2 (en) | 2020-01-24 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with conductors embedded in a substrate |
US11929327B2 (en) | 2020-01-29 | 2024-03-12 | Taiwan Semiconductor Manufacturing Co., Inc. | Liner-free conductive structures with anchor points |
DE102020119831A1 (de) | 2020-01-29 | 2021-07-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Überzugfreie leitfähige strukturen mit ankerpunkten |
US11264273B2 (en) | 2020-01-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Electron migration control in interconnect structures |
DE102020114860A1 (de) | 2020-01-31 | 2021-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor-gates und verfahren zum bilden davon |
US11437287B2 (en) | 2020-01-31 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gates and methods of forming thereof |
US11217586B2 (en) | 2020-01-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having dummy fin physically separating the first and second gate stacks |
US11177383B2 (en) | 2020-02-10 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11094702B1 (en) | 2020-02-10 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | One-time programmable memory device including anti-fuse element and manufacturing method thereof |
US11705372B2 (en) | 2020-02-11 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin loss prevention |
US11264287B2 (en) | 2020-02-11 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with cut metal gate and method of manufacture |
US11189706B2 (en) | 2020-02-11 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with airgap and method of forming the same |
US11830948B2 (en) | 2020-02-19 | 2023-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11664279B2 (en) | 2020-02-19 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple threshold voltage implementation through lanthanum incorporation |
US11201085B2 (en) | 2020-02-25 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having air gap and method for forming the same |
US11133230B2 (en) | 2020-02-26 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dual isolation liner and method of forming the same |
US11373947B2 (en) | 2020-02-26 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnect structures of semiconductor device |
US11211256B2 (en) | 2020-02-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Method with CMP for metal ion prevention |
US11715781B2 (en) | 2020-02-26 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with improved capacitors |
US11545432B2 (en) | 2020-02-27 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with source and drain vias having different sizes |
TW202145443A (zh) | 2020-02-27 | 2021-12-01 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
US11374128B2 (en) | 2020-02-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for air gap inner spacer in gate-all-around devices |
CN113113359A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN113113311A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11515211B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut EPI process and structures |
US11404570B2 (en) | 2020-02-27 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with embedded ferroelectric field effect transistors |
US11152475B2 (en) | 2020-02-27 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts utilizing an inhibitor |
TW202139270A (zh) | 2020-02-27 | 2021-10-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
US11515216B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual silicide structure and methods thereof |
US11588038B2 (en) | 2020-03-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit structure with gate configuration |
DE102021104484A1 (de) | 2020-03-30 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Schaltungsstruktur mit gatekonfiguration |
US11563001B2 (en) | 2020-03-30 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer and capping structures in semiconductor devices |
US11374105B2 (en) | 2020-03-31 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet device with dipole dielectric layer and methods of forming the same |
US12022643B2 (en) | 2020-03-31 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer high-k gate dielectric structure |
DE102020126060A1 (de) | 2020-03-31 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mehrschichtige high-k-gatedielektrikumstruktur |
US11302796B2 (en) | 2020-04-01 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming self-aligned source/drain metal contacts |
US11450602B2 (en) | 2020-04-01 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid method for forming semiconductor interconnect structure |
US11296202B2 (en) * | 2020-04-01 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications |
US11309398B2 (en) | 2020-04-01 | 2022-04-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method for the semiconductor device |
US11251073B2 (en) | 2020-04-01 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co. | Selective deposition of barrier layer |
US11508572B2 (en) | 2020-04-01 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11158632B1 (en) | 2020-04-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Fin-based strap cell structure for improving memory performance |
US11489053B2 (en) | 2020-04-09 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11177212B2 (en) | 2020-04-13 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact formation method and related structure |
US11335638B2 (en) | 2020-04-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing RC delay in semiconductor devices |
US11342501B2 (en) | 2020-04-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11164789B1 (en) | 2020-04-17 | 2021-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device that includes covering metal gate with multilayer dielectric |
DE102020121223A1 (de) | 2020-04-24 | 2021-10-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren |
US11121138B1 (en) | 2020-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low resistance pickup cells for SRAM |
US11342413B2 (en) | 2020-04-24 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective liner on backside via and method thereof |
US11764220B2 (en) | 2020-04-27 | 2023-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device by patterning a serpentine cut pattern |
US11450660B2 (en) | 2020-04-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating the same |
US11521929B2 (en) | 2020-04-28 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping layer for liner-free conductive structures |
CN113161321B (zh) | 2020-04-28 | 2024-10-15 | 台湾积体电路制造股份有限公司 | 半导体结构和形成半导体结构的方法 |
US11398385B2 (en) | 2020-05-08 | 2022-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE102021109275A1 (de) | 2020-05-13 | 2021-11-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around-vorrichtungen mit selbstausgerichteter abdeckung zwischen kanal und rückseitiger leistungsschiene |
US11670692B2 (en) | 2020-05-13 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices having self-aligned capping between channel and backside power rail |
US11257712B2 (en) | 2020-05-13 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact formation methods and devices |
US11631745B2 (en) | 2020-05-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with uneven gate profile |
US11769821B2 (en) | 2020-05-15 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a corner spacer |
US11996409B2 (en) | 2020-05-20 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacking CMOS structure |
US11450572B2 (en) | 2020-05-22 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11374089B2 (en) | 2020-05-22 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench isolation (STI) contact structures and methods of forming same |
US11349005B2 (en) | 2020-05-22 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide structures in transistors and methods of forming |
US11282843B2 (en) | 2020-05-22 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device, SRAM cell, and manufacturing method thereof |
US11289383B2 (en) | 2020-05-27 | 2022-03-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
DE102020131611A1 (de) | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung |
US11380768B2 (en) | 2020-05-28 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11502199B2 (en) | 2020-05-28 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co, Ltd. | Independent control of stacked semiconductor device |
US11410876B2 (en) | 2020-05-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with air gaps and method of fabrication thereof |
US11929329B2 (en) | 2020-05-28 | 2024-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damascene process using cap layer |
US11443987B2 (en) | 2020-05-29 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside air gap dielectric |
US11699742B2 (en) | 2020-05-29 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with varying numbers of channel layers and method of fabrication thereof |
US11527539B2 (en) | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Four-poly-pitch SRAM cell with backside metal tracks |
US11195752B1 (en) | 2020-05-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
US11302798B2 (en) | 2020-05-29 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with air gate spacer and air gate cap |
US11527533B2 (en) | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET pitch scaling |
US11637126B2 (en) | 2020-05-29 | 2023-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11799002B2 (en) | 2020-05-29 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of forming the same |
US11888064B2 (en) | 2020-06-01 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
CN113299648A (zh) | 2020-06-05 | 2021-08-24 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
US11361994B2 (en) | 2020-06-08 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fully self-aligned interconnect structure |
US11515165B2 (en) | 2020-06-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11316033B2 (en) | 2020-06-12 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US20210391470A1 (en) | 2020-06-15 | 2021-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layered structure, semiconductor device including the same, and manufacturing method thereof |
US11398550B2 (en) | 2020-06-15 | 2022-07-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with facet S/D feature and methods of forming the same |
US11637099B2 (en) | 2020-06-15 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming ESD devices using multi-gate compatible processes |
US11367621B2 (en) | 2020-06-15 | 2022-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11316023B2 (en) | 2020-06-15 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof |
US11282943B2 (en) | 2020-06-15 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate devices and fabricating the same with etch rate modulation |
US11600728B2 (en) | 2020-06-15 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer |
US11631736B2 (en) | 2020-06-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain feature with enlarged lower section interfacing with backside via |
US11257755B2 (en) | 2020-06-15 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal loss prevention in conductive structures |
US12058867B2 (en) | 2020-06-18 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device |
US11444025B2 (en) | 2020-06-18 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor and fabrication method thereof |
US11430700B2 (en) | 2020-06-26 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Trench isolation with conductive structures |
US11145734B1 (en) | 2020-06-29 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dummy fin and liner and method of forming the same |
US11848238B2 (en) | 2020-06-30 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for manufacturing semiconductor devices with tunable low-k inner air spacers |
US11545546B2 (en) | 2020-06-30 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11233005B1 (en) | 2020-07-10 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing an anchor-shaped backside via |
US11848239B2 (en) | 2020-07-10 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning method and structures resulting therefrom |
US11728244B2 (en) | 2020-07-17 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for forming the same |
US11664278B2 (en) | 2020-07-22 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with L-shape conductive feature and methods of forming the same |
US11276643B2 (en) | 2020-07-22 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with backside spacer and methods of forming the same |
US11728413B2 (en) | 2020-07-30 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate capping structures in semiconductor devices |
US11532718B2 (en) | 2020-07-30 | 2022-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins |
US11456211B2 (en) | 2020-07-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming interconnect structure |
US11810960B2 (en) | 2020-07-31 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11862701B2 (en) | 2020-07-31 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked multi-gate structure and methods of fabricating the same |
US11527621B2 (en) | 2020-08-05 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate electrode deposition and structure formed thereby |
US11437240B2 (en) | 2020-08-05 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structure and method of forming |
US11923436B2 (en) | 2020-08-07 | 2024-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure for semiconductor device |
US11489057B2 (en) | 2020-08-07 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11302816B2 (en) | 2020-08-11 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US12046479B2 (en) | 2020-08-13 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nitride-containing STI liner for SiGe channel |
US11374088B2 (en) | 2020-08-14 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Leakage reduction in gate-all-around devices |
US11935941B2 (en) | 2020-08-14 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for manufacturing thereof |
US11430790B2 (en) | 2020-08-14 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11563083B2 (en) | 2020-08-14 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11335606B2 (en) | 2020-08-19 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Power rails for stacked semiconductor device |
US11646377B2 (en) | 2020-08-21 | 2023-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11810857B2 (en) | 2020-08-25 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via for semiconductor device and method |
US11482594B2 (en) | 2020-08-27 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and method thereof |
US11616143B2 (en) | 2020-08-27 | 2023-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and methods of fabrication thereof |
US11404321B2 (en) | 2020-08-31 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11862694B2 (en) | 2020-09-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11430701B2 (en) | 2020-09-25 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate oxide structures in semiconductor devices |
US11349002B2 (en) | 2020-09-25 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof |
US11348929B2 (en) | 2020-09-28 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and method for forming the same |
US11664272B2 (en) | 2020-09-29 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11581218B2 (en) | 2020-09-29 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11967526B2 (en) | 2020-09-29 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure and manufacturing method thereof |
US11705491B2 (en) | 2020-09-29 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11728212B2 (en) | 2020-09-29 | 2023-08-15 | Taiwan Semicondcutor Manufacturing Company, Ltd. | Integrated circuit structure and manufacturing method thereof |
US11942371B2 (en) | 2020-09-29 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of via opening |
US11749732B2 (en) | 2020-09-29 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch profile control of via opening |
US11404548B2 (en) | 2020-10-13 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capacitance reduction for backside power rail device |
US11894435B2 (en) | 2020-10-15 | 2024-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact plug structure of semiconductor device and method of forming same |
US11658119B2 (en) | 2020-10-27 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside signal interconnection |
US11637018B2 (en) | 2020-10-27 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier layer for contact structures of semiconductor devices |
US12107087B2 (en) | 2020-10-30 | 2024-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Semiconductor device with gate isolation structure and method for forming the same |
US11735470B2 (en) | 2020-11-13 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure with source/drain contact |
CN114284267A (zh) | 2020-11-13 | 2022-04-05 | 台湾积体电路制造股份有限公司 | 集成电路及其制造方法 |
US11482451B2 (en) | 2020-11-20 | 2022-10-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures |
US11374093B2 (en) | 2020-11-25 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11557511B2 (en) | 2021-01-12 | 2023-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11658216B2 (en) | 2021-01-14 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for metal gate boundary isolation |
US11670681B2 (en) | 2021-01-14 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming fully strained channels |
US12089414B2 (en) | 2021-01-15 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11923357B2 (en) | 2021-01-18 | 2024-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US12057341B2 (en) | 2021-01-27 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut structure and method of forming the same |
US11538927B2 (en) | 2021-01-28 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nanostructures and method for manufacturing the same |
US12068322B2 (en) | 2021-01-29 | 2024-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a multi-layer epitaxial source/drain region having varying concentrations of boron and germanium therein |
US11600703B2 (en) | 2021-01-29 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium tin gate-all-around device |
US11581411B2 (en) | 2021-02-09 | 2023-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11984356B2 (en) | 2021-02-11 | 2024-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US12002885B2 (en) | 2021-02-11 | 2024-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate contact and via structures in semiconductor devices |
US11621197B2 (en) | 2021-02-15 | 2023-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut feature and method for forming the same |
US11798943B2 (en) | 2021-02-18 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor source/drain contacts and methods of forming the same |
US11652171B2 (en) | 2021-02-22 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
US11670595B2 (en) | 2021-02-25 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11676862B2 (en) | 2021-02-26 | 2023-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11854963B2 (en) | 2021-03-03 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11626482B2 (en) | 2021-03-04 | 2023-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer formation with a spin-on dielectric material |
US11538858B2 (en) | 2021-03-05 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and memory array |
US11996324B2 (en) | 2021-03-05 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature of a semiconductor device and method of forming same |
US11876119B2 (en) | 2021-03-05 | 2024-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate isolation features and fabrication method of the same |
US11855153B2 (en) | 2021-03-10 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US12040233B2 (en) | 2021-03-10 | 2024-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US11942358B2 (en) | 2021-03-12 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low thermal budget dielectric for semiconductor devices |
US11670499B2 (en) | 2021-03-18 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming conductive feature including cleaning step |
US12119259B2 (en) | 2021-03-26 | 2024-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate contacts and methods of forming the same |
US11605558B2 (en) | 2021-03-26 | 2023-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit interconnect structure having discontinuous barrier layer and air gap |
US11942479B2 (en) | 2021-03-26 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11482518B2 (en) | 2021-03-26 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structures having wells with protruding sections for pickup cells |
US11682675B2 (en) | 2021-03-30 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US12068248B2 (en) | 2021-03-30 | 2024-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11605591B2 (en) | 2021-03-30 | 2023-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11749729B2 (en) | 2021-03-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, integrated circuit component and manufacturing methods thereof |
US11901228B2 (en) | 2021-03-31 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11646346B2 (en) | 2021-04-08 | 2023-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with air spacer for semiconductor device and method for forming the same |
US11695042B2 (en) | 2021-04-08 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor contacts and methods of forming the same |
US11784228B2 (en) | 2021-04-09 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process and structure for source/drain contacts |
US11710664B2 (en) | 2021-04-15 | 2023-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with backside via contact and a protection liner layer |
US11728218B2 (en) | 2021-04-16 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11848372B2 (en) | 2021-04-21 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for reducing source/drain contact resistance at wafer backside |
US11996327B2 (en) | 2021-04-22 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US11908701B2 (en) | 2021-04-22 | 2024-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method and manufacturing method of semiconductor device |
US11737287B2 (en) | 2021-04-23 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and semiconductor device having the same |
US12010928B2 (en) | 2021-04-23 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, semiconductor device having the same, and methods of manufacturing the same |
US11482595B1 (en) | 2021-04-23 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11652152B2 (en) | 2021-04-23 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping structures in semiconductor devices |
US11996363B2 (en) | 2021-04-28 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure including a heat dissipation layer and methods of forming the same |
US11855186B2 (en) | 2021-04-28 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11600699B2 (en) | 2021-05-05 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure integrating air gaps and methods of forming the same |
US12107007B2 (en) | 2021-05-05 | 2024-10-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Recessed contacts at line end and methods forming same |
US11967622B2 (en) | 2021-05-05 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inter block for recessed contacts and methods forming same |
US11705371B2 (en) | 2021-05-05 | 2023-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices having merged source/drain features and methods of fabrication thereof |
US11756884B2 (en) | 2021-05-06 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US11776895B2 (en) | 2021-05-06 | 2023-10-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US12094823B2 (en) | 2021-05-07 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US11640940B2 (en) | 2021-05-07 | 2023-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnection structure including conductive graphene layers |
US11792977B2 (en) | 2021-05-13 | 2023-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor memory structure |
US11996321B2 (en) | 2021-06-17 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11810919B2 (en) | 2021-06-17 | 2023-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure with conductive via structure and method for forming the same |
US12113113B2 (en) | 2021-07-29 | 2024-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with a core-shell feature and method for forming the same |
US12119345B2 (en) | 2021-08-06 | 2024-10-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11957070B2 (en) | 2021-08-06 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, memory cell and method of forming the same |
US12080597B2 (en) | 2021-08-13 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11581416B1 (en) | 2021-08-19 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US11908702B2 (en) * | 2021-08-19 | 2024-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US11996453B2 (en) | 2021-08-27 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Introducing fluorine to gate after work function metal deposition |
US12062692B2 (en) | 2021-08-27 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tapered dielectric layer for preventing electrical shorting between gate and back side via |
US12074060B2 (en) | 2021-08-28 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11990524B2 (en) | 2021-08-30 | 2024-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and forming method thereof |
US12009259B2 (en) | 2021-08-30 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof |
US12094764B2 (en) | 2021-08-30 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US12074063B2 (en) | 2021-08-30 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact formation method and related structure |
US11749570B2 (en) | 2021-08-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch monitoring and performing |
US11973124B2 (en) | 2021-11-04 | 2024-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US12087776B2 (en) | 2022-01-27 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US12080769B2 (en) | 2022-02-15 | 2024-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with silicide and method for forming the same |
US12046476B2 (en) | 2022-03-25 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wet etching chemistry and method of forming semiconductor device using the same |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4623989A (en) | 1983-08-31 | 1986-11-18 | Texas Instruments Incorporated | Memory with p-channel cell access transistors |
CA2342575A1 (en) | 2001-04-03 | 2002-10-03 | Mosaid Technologies Incorporated | Content addressable memory cell |
KR100456688B1 (ko) * | 2002-01-07 | 2004-11-10 | 삼성전자주식회사 | 완전 씨모스 에스램 셀 |
US7033734B2 (en) * | 2003-02-28 | 2006-04-25 | Intel Corporation | Dipole illumination |
US7781154B2 (en) * | 2006-03-28 | 2010-08-24 | Applied Materials, Inc. | Method of forming damascene structure |
US7723806B2 (en) * | 2006-03-28 | 2010-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory cells and semiconductor memory device using the same |
US20080299780A1 (en) * | 2007-06-01 | 2008-12-04 | Uv Tech Systems, Inc. | Method and apparatus for laser oxidation and reduction |
KR20100080171A (ko) | 2008-12-31 | 2010-07-08 | 주식회사 동부하이텍 | Sram 소자 및 그 제조 방법 |
US7674703B1 (en) * | 2009-01-27 | 2010-03-09 | Infineon Technologies Ag | Gridded contacts in semiconductor devices |
US8304172B2 (en) * | 2009-11-12 | 2012-11-06 | Advanced Micro Devices, Inc. | Semiconductor device fabrication using a multiple exposure and block mask approach to reduce design rule violations |
US9362290B2 (en) | 2010-02-08 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell layout |
KR101671464B1 (ko) * | 2010-12-02 | 2016-11-02 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
-
2012
- 2012-11-30 US US13/691,367 patent/US9236300B2/en active Active
-
2013
- 2013-02-26 KR KR1020130020416A patent/KR101553438B1/ko active IP Right Grant
- 2013-05-07 CN CN201310165080.1A patent/CN103855097B/zh active Active
- 2013-11-15 TW TW102141598A patent/TWI518533B/zh active
Also Published As
Publication number | Publication date |
---|---|
KR20140070306A (ko) | 2014-06-10 |
US20140151812A1 (en) | 2014-06-05 |
US9236300B2 (en) | 2016-01-12 |
KR101553438B1 (ko) | 2015-09-15 |
TW201421272A (zh) | 2014-06-01 |
CN103855097B (zh) | 2016-06-08 |
CN103855097A (zh) | 2014-06-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI518533B (zh) | 靜態隨機存取記憶體裝置的製造方法及其佈局 | |
US10971503B2 (en) | Structure and method for FinFET SRAM | |
US9478553B2 (en) | SRAM cell connection structure | |
US9831253B2 (en) | FinFET memory device | |
TWI667740B (zh) | 避免電路短路的改良多晶矽虛置技術 | |
US20120299106A1 (en) | Semiconductor device and method of fabrication | |
CN108257960B (zh) | 静态随机存取存储元件 | |
US7985678B2 (en) | Method of manufacturing a semiconductor integrated circuit device | |
CN111524889A (zh) | 静态随机存取存储器 | |
US20240284651A1 (en) | Static random access memory and method for fabricating the same | |
US20240161818A1 (en) | Layout pattern of static random access memory and the forming method thereof | |
US20100124816A1 (en) | Reticles and methods of forming semiconductor devices | |
US20240054273A1 (en) | Memory device with backside interconnection for power rail and bitline and method of forming the same |