CN103781854A - 用于平版印刷应用的来自小分子的金属氧化物膜 - Google Patents

用于平版印刷应用的来自小分子的金属氧化物膜 Download PDF

Info

Publication number
CN103781854A
CN103781854A CN201280041124.3A CN201280041124A CN103781854A CN 103781854 A CN103781854 A CN 103781854A CN 201280041124 A CN201280041124 A CN 201280041124A CN 103781854 A CN103781854 A CN 103781854A
Authority
CN
China
Prior art keywords
composition
hard mask
silicon
precursor compound
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280041124.3A
Other languages
English (en)
Other versions
CN103781854B (zh
Inventor
D·M·苏利文
C·J·尼弗
王玉宝
T·奥塔拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN103781854A publication Critical patent/CN103781854A/zh
Application granted granted Critical
Publication of CN103781854B publication Critical patent/CN103781854B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/58Metal-containing linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/14Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Inorganic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Oxygen, Ozone, And Oxides In General (AREA)

Abstract

本发明提供了用于平版印刷应用的金属氧化物膜。该膜由包括金属氧化物前体化合物的组合物形成,该金属氧化物前体化合物包括除了硅以外的金属和准金属。这些膜易于制备,且可用下述的多种配体改性,包括醇盐、酚盐、羧酸盐/酯、β-二酮和β-酮酸酯。

Description

用于平版印刷应用的来自小分子的金属氧化物膜
发明领域
本发明总体涉及用于微电子器件制造的、具有改善抗蚀刻性的新的硬掩模组合物。所述组合物包括分散或溶解于溶剂系统的金属氧化物前体。
发明背景
相关技术的描述
通过显微照相平版印刷法制造的半导体结构的密度和尺寸反映了微电子制造的进展。对于高密度和小临界尺寸(CD)的需求不断推动着照相平版印刷技术向着极限发展。为了跟上半导体工业的步伐,下一代的成像材料和创新性的平版印刷法需要协同起来用于高分辨率平版印刷。随着临界特征尺寸减小到32纳米以及低于32纳米,并且印刷的线路的长宽比有一定的限制,以避免可能发生的线路崩溃,因此人们广泛接受采用薄的光刻胶的做法,以获得较好的分辨率和大的焦深(DOF)。人们采用瑞利定律(Rayleigh)限定图案分辨率和焦深(DOF):
分辨率=k1λ/NA;以及
DOF=k2λ/NA2,
式中λ是辐射波长,NA是曝光装置的数值孔径,k1和k2是特定工艺的常数。瑞利的理论表明,用短波长和大数值孔径曝光的曝光装置能够获得更好的图案分辨率。正因为这个原理,微电子工业越来越多地采用短曝光波长。但是,瑞利定律还表明提高分辨率会致使DOF降低。使用薄的光刻胶会造成k1减小,k2增大,由此获得更好的分辨率和大的DOF。但是,减小的光刻胶厚度无法提供足够的抗蚀刻性以将图案转移到基片中,对于193纳米的ArF照相平版印刷尤为如此。出于透光性的要求,不能在ArF光刻胶内加入芳族结构,因此大部分ArF抗蚀剂的蚀刻速度甚至比已有的光刻胶更快。为了获得更好的分辨率,需要更薄的光刻胶,而为了获得图案转移需要足够的蚀刻余量,目前仅有很少工艺和材料解决方案来解决此二者之间的矛盾。
在一种方法中,在多层蚀刻层叠体中添加了含硅的硬掩模,以帮助提供完全的图案转移。在传统的三层法中,光刻胶涂覆在膜层叠件上,该层叠件由在厚富碳层顶部的薄含硅层组成。其它层叠件允许选择性的在层之间蚀刻。厚富碳层通常用于提供在基片中创建深特征所需的蚀刻余量。在该方案中使用的硅硬掩模通常通过化学气相沉积或旋涂来应用。它们的不足之一是对于大体积制造来说难以获得足够的平版印刷性能。为了克服这个问题,通常需要将硅硬掩模材料加热到高温,以促进膜的致密化。还可将催化剂添加到该硅硬掩模制剂中,以降低所需的烘烤温度。通常使用反应离子蚀刻(RIE)打开光刻胶之下的硬掩模层。该硬掩模-光刻胶蚀刻选择性决定了光刻胶可以有多薄。不幸的是,在常规的硬掩模等离子蚀刻化学条件下,几乎所有的现有的光刻胶仍然会较快地蚀刻,且硅硬掩模没有为更薄的光刻胶提供足够的蚀刻选择性。因此,仍然需要很厚的光刻胶来进行高分辨率平版印刷。
另一种解决方案是使用可溶于显影剂的下层材料,从而省略掉本来需要采用的蚀刻步骤。已经描述了可各向同性显影的光敏性底部减反射涂层。但是,在可各向同性显影的底部减反射涂层中,很难控制侧壁腐蚀现象。对于光敏性的可各向异性显影的底部减反射涂层,一个主要的问题是当将该涂层涂覆在基片的形貌上的时底部减反射涂层的清除以及CD均一性。另一方面,如果将其涂覆在旋涂碳平面化层顶上,这些有机底部减反射涂层材料无法有效地用作硬掩模。
更近一些时候,直到人们能够采用小于193纳米(例如13.5纳米)的曝光波长之前,对接下来的印刷节点进行多次曝光的技术成为了仅有的可行的选择。人们已经研究并报道了许多用于多次曝光技术的工艺。一些工艺使用明场掩模,其中只有少部分的光刻胶,例如线条受到保护而不被曝光,而剩余部分的光刻胶都曝光。然后使得所述光刻胶与显影剂接触,除去光刻胶的曝光部分,仅在硬掩模层上留下未曝光的光刻胶部分(即线条)。通过蚀刻除去硬掩模层中除了位于光刻胶未曝光部分之下的区域以外的部分,将所述图案转移到硬掩模中。重复该过程,直至获得所需的图案。在暗场曝光工艺中,很大一部分光刻胶受到保护未被曝光,仅有小部分光刻胶曝光,在显影后被除去。与明场的情况相同,随后必须通过蚀刻过程将所述图案转移到硬掩模中。
因此,本领域仍然需要能提供比标准硅氧化物膜更高RIE选择性的改善硬掩模材料,这将实现仅有硅时不能实现的更薄的膜和新的加工方法。此外,具有足够蚀刻选择性的硬掩模无需与旋涂碳层一起。还需要硬掩模材料,当与旋涂碳层联用时,该硬掩模材料提供比传统的减反射涂层更好的反射率控制,并且潜在的使得无需在多层层叠件中使用这种涂层。
发明概述
本发明广泛地提供了用来形成微电子结构的组合物,用来形成所述结构的方法,以及该结构,克服了这些问题。本发明提供一种硬掩模组合物,其包括分散或溶解于溶剂系统中的金属氧化物前体化合物且基本上不含硅,其中所述前体化合物选自下组:聚合物、低聚物、单体及其混合物。所述聚合物和低聚物包括下述重复出现的单体单元:
Figure BDA0000468744280000031
所述单体具有下述分子式:
其中:m至少是1;各n独立的是1-3;a是组成所有氧化物连接的原子数目;x指单体重复单元;M是除了硅以外的金属或准金属;各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立地选自下组:烷基和羰基。
本发明还提供一种硬掩模组合物,其不溶于水性碱性显影剂,且包括分散或溶解于溶剂系统中的金属氧化物前体化合物,以及还包括硅,其中所述前体化合物选自下组:聚合物、低聚物、单体及其混合物。所述聚合物和低聚物包括下述重复出现的单体单元:
Figure BDA0000468744280000041
所述单体具有下述分子式:
Figure BDA0000468744280000042
其中:各m至少是2;各n独立的是1-3;a是组成所有氧化物连接的原子数目;x指单体重复单元;M是除了硅以外的金属或准金属;各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立地选自下组:烷基和羰基。
本发明还提供了形成微电子结构的方法。所述方法包括提供具有表面的基片;任选地在所述表面上形成一个或多个中间层;如果存在所述中间层,将硬掩模组合物施加到邻近所述中间层处,如果不存在所述中间层,将硬掩模组合物施加到邻近所述基片表面处,来形成层叠件;以及将所述硬掩模组合物加热到至少约205℃来产生包括金属氧化物膜的固化硬掩模层,其中所述固化硬掩模层不溶于水性碱性显影剂。所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,以及基本上不含硅。所述前体化合物选自下组:聚合物、低聚物、单体及其混合物。
本发明还提供了形成微电子结构的另一种方法。所述方法包括提供具有表面的基片;任选地在所述表面上形成一个或多个中间层;如果存在所述中间层,将硬掩模组合物施加到邻近所述中间层处,如果不存在所述中间层,将硬掩模组合物施加到邻近所述基片表面处,来形成层叠件;以及加热所述硬掩模组合物来产生包括金属氧化物膜的固化硬掩模层,其中所述固化硬掩模层不溶于水性碱性显影剂。所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,以及还包括硅。所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中所述聚合物和低聚物包括下述重复出现的单体单元:
Figure BDA0000468744280000051
所述单体具有下述分子式:
Figure BDA0000468744280000052
其中:各m至少是2;各n独立的是1-3;a是组成所有氧化物连接的原子数目;x指单体重复单元;M是除了硅以外的金属或准金属;各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立地选自下组:烷基和羰基。
还提供了一种微电子结构。所述结构包括具有表面的基片;邻近所述基片表面上的一个或多个任选的中间层;以及固化硬掩模层,如果存在所述中间层,该固化硬掩模层邻近所述中间层,如果不存在所述中间层,该固化硬掩模层邻近所述基片表面。所述固化硬掩模层不溶于水性碱性显影剂,且由硬掩模组合物形成,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,且基本上不含硅。所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中所述聚合物和低聚物包括下述重复出现的单体单元:
Figure BDA0000468744280000061
所述单体具有下述分子式:
Figure BDA0000468744280000062
其中:m至少是1;各n独立的是1-3;a是组成所有氧化物连接的原子数目;x指单体重复单元;M是除了硅以外的金属或准金属;各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立地选自下组:烷基,苯基,和羰基。
还提供了另一种微电子结构。所述结构包括具有表面的基片;邻近所述基片表面上的一个或多个任选的中间层;以及固化硬掩模层,如果存在所述中间层,该固化硬掩模层邻近所述中间层,如果不存在所述中间层,该固化硬掩模层邻近所述基片表面。所述固化硬掩模层不溶于水性碱性显影剂,且由硬掩模组合物形成,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,且还包括硅。所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中所述聚合物和低聚物包括下述重复出现的单体单元:
Figure BDA0000468744280000071
所述单体具有下述分子式:
Figure BDA0000468744280000072
其中:m至少是1;各n独立的是1-3;a是组成所有氧化物连接的原子数目;x指单体重复单元;M是除了硅以外的金属或准金属;各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立地选自下组:烷基和羰基。
附图简述
图1(A)-(G)是通过本发明方法形成的结构(未按比例绘制)的示意图;
图2是在实施例1中形成的图案的扫描电子显微镜(SEM)图片;以及
图3是在实施例2中形成的图案的SEM图片。
发明详述
用于本发明的组合物
所述发明性组合物包括分散或溶解于溶剂系统的金属氧化物前体。该金属氧化物前体包括选自下组的前体化合物:单体、低聚物、聚合物及其混合物,其中在本文中,溶胶-凝胶材料和纳米颗粒也认为是聚合的。用于所述组合物的前体化合物优选的包括:在热量存在下能转化成金属氧化物膜的有机金属单体和/或包含有机金属单体重复单元的低聚或聚合化合物。金属或准金属醇盐和二酮酸盐是特别优选的前体化合物。用于所述组合物的金属和准金属优选的包括铝、钛、锆、钒、锗、铝、铪、镓、铊、锑、铅、铋、铟、锡、硼、锗、砷、碲、稀土金属(如钪,钇和镧系元素),或其组合。如本文所使用,术语“金属”或“准金属”不包括硅。各种金属单体、金属聚合物的混合物和/或混合的金属溶胶-凝胶,对于所述组合物的某些实施方式是特别优选的。在前体化合物或组合物中,可同时包括硅单体或含硅单体重复单元以及金属单体、低聚物和/或聚合物。但是,尽管在所述组合物中存在硅,优选的所述金属氧化物前体包括至少一种不是硅的金属或准金属。
用于本发明的特别优选的金属或准金属聚合物或低聚物可包括下述的重复出现的单体重复单元、基本由下述的重复出现的单体重复单元组成、或者甚至由下述的重复出现的单体重复单元组成:
Figure BDA0000468744280000081
其中:m至少是1(优选的为1-2,且在一些实施方式中,优选的为至少2);n是1-3(优选的为2-3);a是组成所有氧化物连接的原子数目(优选的为2-4);x指单体重复单元,与在主链中的分布或位置无关;M是金属或准金属(且不是硅);各R1和R2独立的选自下组:氢、烷基(优选的为C1-C8烷基)、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立的选自下组:烷基(优选的为C1-C8烷基)、苯基、羰基。用于本发明的聚合或低聚前体优选的是低分子量的前体化合物。因此,该聚合或低聚金属氧化物前体的重均分子量优选的是:约1,000道尔顿-约10,000道尔顿,更优选的约1,500道尔顿-约7,500道尔顿,以及甚至更优选的约1,500道尔顿-约5,000道尔顿。以所述组合物的总重量为100重量%计,当存在时该高聚或低聚金属氧化物前体应以占组合物的下述水平来使用:约0.1%-约5重量%,优选的约0.3%-约5重量%,更优选的约0.5%-约2.5重量%,以及甚至更优选的约0.5%-约2重量%。
用于所述组合物的金属或准金属单体(独立的或与上述聚合物或低聚物组合)优选的包括具有下述分子式的单体:
Figure BDA0000468744280000091
其中:m至少是1(优选的至少为2);n是1-3(优选的为2-3);M是金属或准金属(且不是硅);各R1和R2独立的选自下组:氢、烷基(优选的为C1-C8烷基)、芳基、烷氧基、苯氧基和乙酰氧基;以及各R3独立的选自下组:烷基、苯基、羰基。以所述组合物的总重量为100重量%计,当存在时该金属或准金属(即不含硅的)优选的以占组合物的下述水平来使用:约0.1%-约5重量%,优选的约0.3%-约5重量%,更优选的约0.5%-约2.5重量%,以及甚至更优选的约0.5%-约2重量%。
用于本发明的聚合物、低聚物或单体的金属或准金属(M)优选的独立选自下组:铝、钛、锆、钒、锗、铝、铪、镓、铊、锑、铅、铋、铟、锡、硼、锗、砷、碲、和稀土金属。
在一些实施方式中,所述前体化合物或发明性组合物还包括硅。硅可以作为聚合物、低聚物、单体或其混合物存在于该组合物中。硅还可作为共聚单体存在于该前体化合物中。以所述前体化合物的总重量为100重量%计,当硅作为共聚单体存在时,该前体化合物优选的包括下述水平的硅:约5%-约40重量%,更优选的约10%-约35重量%,以及甚至更优选的约15%-约30重量%。用于本发明的硅单体重复单元(作为共聚单体或独立于前体化合物的聚合物)优选的包括下述分子式:
Figure BDA0000468744280000101
其中:y指单体重复单元,与在主链中的分布或位置无关,且是5-100(优选的是10-50);z是0-1(优选的是1),且各R4独立的选自下组:苯基,烷基(优选的是C1-C8烷基),氢,烯基,和炔基。在聚合物或低聚物同时包含具有分子式(I)和(III)的重复出现的共聚单体的实施方式中,x:y的比例优选的是约10:1-约1:10,更优选的是约10:1-约1:5,以及甚至更优选的是约10:1-约1:2。
用于本发明的硅单体优选的选自下组:Si(OR3)n(R4)m,Si(Cl)n(R4)m,及其组合,n是2-4(优选的是3-4),m是0-2(优选的是0-1,以及更优选的是1),各R3独立的选自下组:烷基(优选的是C1-C8烷基),苯基、和硅氧基(siloxyl),以及各R4独立的选自下组:苯基,烷基(优选的是C1-C8烷基),氢,烯基,炔基,萘基,甲硅烷基、和吡啶基。
以所述组合物的总重量为100重量%计,当存在硅时,该组合物优选的包括下述水平的硅:约0.025%-约10重量%,更优选的约0.025%-约5重量%,以及甚至更优选的约0.025%-约2重量%。总的来说,在所述发明性硬掩模组合物中,含硅化合物(当存在时)与金属或准金属化合物的比例优选的是约10:1-约1:10,更优选的是约10:1-约1:5,以及甚至更优选的是约10:1-约1:2。在一些实施方式中,以在所述前体化合物或组合物中金属或准金属和硅的总重量为100重量%计,该前体化合物或组合物中硅的总含量优选的是大于约25重量%,更优选的大于约50重量%,以及甚至更优选的大于约65重量%。在其他实施方式中,所述前体化合物优选的基本上不含硅(即,以所述前体化合物的总重量为100重量%计,该前体化合物包括下述水平的硅:小于约5重量%,更优选的小于约3重量%,甚至更优选的小于约1重量%,以及最优选的约0重量%)。在一些实施方式中,所述组合物优选的基本上不含硅(即,以所述组合物的总重量为100重量%计,该组合物包括下述水平的硅:小于约0.025重量%,更优选的小于约0.01重量%,以及甚至更优选的约0重量%)。
该组合物可包括下述的混合物:(a)包括上述单体的前体化合物;以及(b)包括上述重复出现的单体单元的前体化合物(即,所述组合物可包括上述单体、聚合物或低聚物任意一种任意组合的混合物)。例如,含硅聚合物(如上面分子式III所示)可与金属氧化物前体单体(如上面分子式II所示)混合。类似的,金属或准金属聚合物(如上面分子式I所示)可与硅单体混合。或者,如上所述,可在金属或准金属聚合物和低聚物中包括硅共聚单体。此外,所述组合物可包括主要为金属或准金属单体、或主要为金属或准金属聚合物和/或低聚物的混合物。
可通过是金属或准金属化合物与选定的配体反应,来形成单体前体。可通过使用多功能配体或者合成溶胶-凝胶聚合物来形成更高分子量的前体(如聚合物或低聚物)。例如,可通过水解和随后将单体缩合以形成胶体状的低聚物或聚合物(溶胶形成),来将已经包括二酮-或醇盐配体作为其结构的一部分的起始单体形成为所需的前体化合物。这种起始单体的示例之一是双(乙基乙酰乙酸酯)二异丙醇金属(如钛、铝等)(metaldiisopropoxide bis(ethylacetoacetate))。
适用于所述硬掩模材料的配体包括选自下组的那些:醇(醇盐)、苯酚(酚盐)、β-二酮、β-二酮酸酯、芳香族或脂肪族羧酸(羧酸盐/酯)、硫醇、及其衍生物。该配体还可包含能允许其它化学反应发生的额外的官能团,例如可聚合的丙烯酸盐。特别优选的配体包括:乙酰乙酸盐、戊二酸盐(pentanedionates)、和醇盐。如果在该前体化合物中存在硅,则硅优选的基本上不含配体。即,配体优选的只与金属或准金属连接,且不与硅连接。
在其他实施方式中,该前体化合物可以是金属氧化物纳米颗粒或纳米簇团的形式,它们在本文中认为是聚合的。在本实施方式中,该前体化合物具有与上述相同的分子式,但是纳米颗粒的形式,且还包括侧接基团如羧酸、醇盐、乙酰乙醛、和戊二酸盐。
与实施方式无关,通过将金属氧化物前体和其它成分溶解或分散于溶剂系统中来形成所述硬掩模组合物。如上所述,所述组合物可包括单体、低聚物、聚合物或其混合物,且优选的适于溶胶-凝胶过程。例如,可提前通过缩合聚合制备溶胶(聚合物),且随后分散或溶解于溶解系统中以形成所述组合物。或者,可制备溶胶并与有机金属单体一起分散或溶解于溶剂系统,以形成硬掩模组合物。在其它方面,所述组合物可主要包括单体(即,包括小于约10重量%的高聚或低聚化合物)。但是,如下所述,在涂覆和烘烤之后,所有组合物优选的转变成凝胶。因此,在单体硬掩模组合物中,该单体可在存在热量和任选的添加催化剂时,直接转化成金属氧化物(“晶片上聚合”)。
适用于形成所述硬掩模组合物的溶剂包括选自下组的溶剂:丙二醇甲基醚乙酸酯(PGMEA),丙二醇单甲基醚(PGME),乳酸乙酯,丙二醇正丙基醚,γ-丁内酯,环戊酮,环己酮,乙酸正丁酯,及其混合物。优选的是,所述溶剂系统的沸点为约90-约220℃,以及更优选为约90-约180℃。以所述组合物的总重量为100重量%计,以下述水平适于溶剂系统:约50%-约99.7重量%,优选的约90%-约99.5重量%,以及更优选的约95%-约99.5重量%。以所述组合物的总重量为100重量%计,在该组合物中的固体总量范围是:优选的约0.3%-约50%,更优选的约0.3%-约10%,以及甚至更优选的约0.5%-约5%。
在一些实施方式中,所述硬掩模组合物基本上由(或甚至由)分散或溶解于溶剂系统的金属氧化物前体和任选的含硅化合物组成,且不含其它成分。但是,在其他实施方式中,所述组合物可任选的包括其它成分,例如额外的聚合物、溶胶-凝胶材料、催化剂、发色团(光减弱部分)、交联剂、及其组合。优选的催化剂选自下组:季铵盐和鏻盐。以所述组合物的总重量为100重量%计,当存在催化剂时,该组合物优选的包括下述水平的催化剂:约0.01%-约2重量%,优选的约0.1%-约1重量%,以及更优选的约0.1%-约0.5重量%。
可包括进入所述组合物或前体化合物中的发色团包括选自下组的部分:苯基,乙烯基,萘基,吡啶基,和甲硅烷基。在这些实施方式中,该发色团优选的添加至含硅单体或单体重复单元。即,所述金属或准金属前体单体或重复单元优选的基本上不含添加的发色团或光减弱部分。以所述组合物的总重量为100重量%计,当存在发色团时,该组合物优选的包括下述水平的发色团:约10%-约60重量%,优选的约10%-约50重量%,以及更优选的约10%-约40重量%。在其他实施方式中,所述组合物或前体化合物优选的基本上不含添加的发色团或光减弱部分(即,以所述前体化合物或所述组合物的总重量为100重量%计,所述前体化合物或所述组合物包括下述水平的添加的发色团或光减弱部分小于约10重量%,以及更优选的小于约5重量%)。
应理解,本发明提供了一个独特的益处,在于无需使用额外的交联剂。即,所述金属氧化物前体自身可提供所需的固化和/或交联。以所述固体的总重量为100重量%计,所述硬掩模组合物优选的包括下述水平的交联剂:小于约20重量%,更优选的小于约10重量%,更优选的小于约1重量%,以及甚至更优选的基本上不含交联剂。如本文所使用,术语“交联剂(crosslinking agent)”和“交联剂(crosslinker)”可互换使用,且包括氨基树脂、甲酚、环氧树脂、多元醇、酐、甘油醚、乙烯基醚、三聚氰胺、甘脲、苯并胍胺及其混合物。
本发明的方法和结构
图1(A)-(G)显示了通过本发明的硬掩模组合物来形成多层层叠件的形成和过程。在此方法中,提供了具有表面10a的基片10。在本发明中可以使用任意的微电子基片。示例性的基片10包括选自以下的那些:硅,SiGe,SiO2,Si3N4,SiON,铝,钨,硅化钨,砷化镓,锗,钽,氮化钽,珊瑚,黑金刚石,掺杂磷或硼的玻璃,以及上述材料的混合物。如图1(A)所示,任选的可在基片的表面10a上形成中间层12。可通过已知的任意应用方法来形成中间层12,优选的方法之一是以下述速度旋涂所述组合物:约1,000-约3,000rpm(转/分钟)(优选的是约1,250-约1,750rpm),并旋涂约30-约120秒(优选的是约45-约75秒)的时段。合适的中间层包括选自下组的那些:旋涂碳层,无定形碳层,底部减反射涂层,平面化层,以及上述层的组合。当使用旋涂碳层时,该中间层12的厚度优选的是约100纳米-约500纳米,更优选的是约100纳米-约300纳米,以及甚至更优选的是约100纳米-约200纳米。无论如何,所述基片10可以具有平坦的表面,或者可以包括形貌特征(通孔,接触孔,凸起特征等)。在本文中,"形貌"表示基片表面之内或之上的结构的高度或深度。
如图1(B)所示,随后将硬掩模组合物施加到中间层12上(当存在时)来形成硬掩模层14。当没有使用中间层12时,该硬掩模组合物直接施加到基片表面10a上(未显示)。可通过已知的任意应用方法来形成硬掩模层14,优选的方法之一是以下述速度旋涂所述组合物:约1,000-约3,000rpm(优选的是约1,250-约1,750rpm),并旋涂约30-约120秒(优选的是约45-约75秒)的时段。在施加所述硬掩模组合物之后,优选的将它加热到下述温度:约140℃-约240℃,以及更优选的约180℃-约240℃,以及保持下述的时段:约30秒-约300秒(优选的是约30秒-约120秒),从而形成固化的硬掩模层14。或者,可将该硬掩模组合物加热到下述温度:至少是约205℃,以及优选的是约205℃-约240℃,以及保持下述时间:约30秒-约300秒(优选的是约30秒-约120秒),从而形成固化的硬掩模层14。在一些实施方式中,在所述硬掩模组合物中的金属氧化物前体化合物包括可在固化中聚合以形成金属氧化物膜14的单体(即,“晶片上聚合”)。在其他实施方式中,所述金属氧化物前体化合物包括在加热以形成交联的金属氧化物层14时能自缩聚和交联的单体和聚合物,无需额外的交联剂。在另一种实施方式中,所述金属氧化物前体化合物在加热时可通过它们的配体自我交联。与实施方式无关,烘烤后的硬掩模层14的厚度优选的是约10纳米-约100纳米,更优选的是约15纳米-约75纳米,以及甚至更优选的是约15纳米-约60纳米。
在包括硅单体的实施方式中,以所述固化层的总重量为100重量%计,该硅单体将以下述水平存在于硬掩模层14中:约20%-约90重量%,优选的约40%-约90重量%,以及更优选的约50%-约90重量%。在硅存在于所述组合物的其它实施方式中,以所述固化层的总重量为100重量%计,在硬掩模层14中的硅总含量优选的是约5%-约40重量%,更优选的是约5%-约35重量%,以及甚至更优选的是约5%-约30重量%。
所述硬掩模层14优选具有吸光性质。具体来说,用于本发明的方法的硬掩模层14的k值(复折射率的虚部(消光系数))优选的是至少约0.1,优选的是约0.15-约0.6,以及更优选的是约0.15-约0.4,以及n值(复折射率的实部)至少是约1.5,以及优选的是约1.5-约2,以及更优选的是约1.5-约1.8。这些值可以在很宽的波长范围内获得,包括约小于500纳米的波长(例如365纳米,248纳米,193纳米,157纳米或13.5纳米)。
优选的,该固化硬掩模层14将充分固化,且基本上不溶于用来形成硬掩模组合物的溶剂,例如PGMEA、PGME、乳酸乙酯,丙二醇正丙基醚,γ-丁内酯,环戊酮,环己酮,乙酸正丁酯,及其混合物。因此,当进行剥离测试时,该固化的硬掩模层14的剥离百分数是小于约5%,优选的是小于约1%,以及甚至更优选的是约0%。剥落测试包括首先测定固化的层的厚度(取五个不同的位置的测量值的平均值)。这是初始平均膜厚度。接下来,用溶剂(例如乳酸乙酯)在膜上淋洗约30秒,然后在大约500-3,000rpm的转速下离心干燥约20-60秒以除去溶剂。使用椭圆光度法在晶片上五个不同的点测量厚度,得到这些测量值的平均值。这是平均最终膜厚度。
剥落的量是初始平均膜厚度与最终平均膜厚度之间的差值。剥落百分数为:
%剥离=(剥离数量)X100/(初始平均膜厚)
优选的是,该硬掩模层14不是光敏的。即,当层14暴露于辐照(即,光)时,不会进行任何的物理或化学变化。该硬掩模层14优选的基本上不溶于水性碱性显影剂,如四甲基氢氧化铵(TMAH)和氢氧化钾(KOH)。即,该发明性组合物优选的是不能湿显影的,且不能用常规的水性显影剂去除。更优选的是,本发明的涂层的小于约5%以及更优选的小于约2%可通过碱显影剂如四甲基氢氧化铵或KOH显影剂去除。
然后可以对所述固化的硬掩模层14施加光敏性组合物,形成成像层16。所得层叠件18见图1(B)。然后,在至少约90℃,以及优选的约90℃-约130℃的温度下,将成像层16进行应用后烘烤约30秒-约120秒的时段。合适的成像组合物包括市售的光刻胶(如,TArF Pi6-001,TOK公司,日本神奈川川崎市;ARX3001,JSR Micro公司,加利福尼亚州森尼维耳市;AM2073J,JSR Micro公司),或其它光敏组合物。该发明性的硬掩模层14允许使用更薄的多的成像层16。成像层16的厚度是小于约240纳米,优选的是约50纳米-约200纳米,以及更优选的是约70纳米-约130纳米。
如图1(C)所示,随后可以通过以下方式使得成像层16图案化:用合适波长的光对其进行曝光,然后使得曝光后的层显影。具体来说,使用设置在成像层16上方的掩模20,使成像层16曝光。掩模20具有开放区域20a,所述开放区域设计用来允许辐射(hv)通过掩模20,与成像层16相接触。掩模20剩余的实心部分20b设计用来在某些区域防止辐射与成像层14相接触。本领域技术人员能够很容易地理解,开放区域20a和实心部分20b是根据将要在成像层16上、最终在基片10中形成的所需图案设计的。尽管层叠件18中可存在减反射涂层,在一种优选的实施方式中,中间层12包括SOC层且层叠件18不含减反射涂层。即,在本实施方式中,硬掩模层14和SOC中间层12优选的无需在层叠件中设置减反射涂层来控制曝光工艺中的反射。与实施方式无关,曝光之后,成像层16优选的在约90℃-约150℃,更优选的约110℃-约130℃的温度下进行曝光后烘烤(post-exposurebake)约30秒-约120秒。
曝光时,成像层16中暴露于辐射的部分会变得可溶于水性显影剂。如图1(D)所示,随后使成像层16中通过上述工艺变得可溶的曝光部分,与水性显影剂接触,从而去除该曝光的部分并在成像层16中形成所需的图案22。所述图案22可以是通孔、沟槽、线条、间隔等,最终会采用蚀刻法或离子注入法转移到基片10上。或者,成像层16的曝光的部分可在曝光工艺中变得不可溶的,在这种情况下,去除过程与上述过程相反。即,在显影中去除未曝光的部分来形成图案(未显示)。无论在哪种实施方式中,通过显影剂去除成像层16中下述水平的曝光的(或者有时是未曝光的)部分:优选的是至少约95%,更优选的是至少约99%,以及甚至更优选的是约100%。合适的显影剂是有机或无机碱性溶液,例如KOH或者TMAH,以及优选是浓度等于或小于0.26N的TMAH水性溶液。一些这样的显影剂是市售产品,商品名为PD523AD(购自美国华盛顿州,摩斯湖的摩斯湖工业有限公司(Moses Lake Industries,Inc.)),MF-319(购自美国马萨诸塞州的西普力(Shipley,Massachusetts)),MF-320(购自西普力)以及纳米D3(购自日本的TOK)。
然后,使用蚀刻过程将图案22从成像层16转移到硬掩模层14,见图1(E)。优选的是,通过下述的反应性离子等离子体:CF4,O2,HBr,Cl2,SF6,C2F6,C4F8,CO2,N2,H2,C4H8或它们的混合物,利用RIE来转移图案22。当使用CF4作为蚀刻剂时,硬掩模层14的蚀刻速率优选的是至少约/分钟.,以及更优选的是约
Figure BDA0000468744280000172
/分钟-约
Figure BDA0000468744280000173
/分钟。因此,当使用CF4作为蚀刻剂时,硬掩模层14对成像层(如60纳米光刻胶)的蚀刻选择性是至少约1:1,优选的是至少约1:2,以及更优选的是约1:3-约1:6。然后,使用硬掩模层14将图案22蚀刻到中间层12(当存在时)或基片10上。此外,硬掩模层14应对中间层12(当存在时)具有高的蚀刻选择性。优选的,当使用O2作为蚀刻剂时,硬掩模层14是抗O2蚀刻的,以及优选的以小于约/分钟.,更优选的小于约
Figure BDA0000468744280000175
/分钟.,以及甚至更优选的小于约/分钟的速率蚀刻。因此,当中间层12是旋涂碳层且使用O2作为蚀刻剂时,硬掩模层14对中间层12的蚀刻选择性是至少约5,优选的是至少约10,以及更优选的是约10-约50。
在把图案22转移到中间层12(如图1(F)所示)或基片10(未显示)上之后,可通过碱性水性显影剂来清洗硬掩模层14(若没有完全固化),或者通过刻蚀法(etching off)或过度刻蚀(over-etching)来清洗硬掩模层14。然后,使用中间层12(当存在时)将图案22转移到基片10上。然后可以在图案化的层叠体24上进行常规的蚀刻、金属化等操作,完成器件的制造。如果需要进行多次曝光工艺(未显示),还可以使用施涂在与图案化的硬掩模层14(在图1(E)中形成)相邻的第二成像层重复所述曝光-显影工艺。
在另外的实施方式中,可以采用ArF浸没平版印刷法(未显示)对光刻胶进行图案化。在曝光过程中,辐射所通过的介质不是空气(常规平版印刷法的情况),而是液体。通过平版印刷系统的光学投射元件(即透镜)使得成像层16对辐射曝光,浸没液体与所述平版印刷系统的光学元件的至少一部分以及本发明的结构的一部分(即层叠体18)相接触。甚至更优选的,所述液体填充所述系统中最后一个光学元件与成像层16之间的间隙,使得光学元件浸没在液体中。合适的浸没液体的折射率优选大于1(优选为约1-约2,以及更优选为约1.3-约1.4),所述浸没液体选自水(优选纯水),有机溶剂及其混合物。浸没平版印刷系统是现有即已知的,包括购自两栖系统公司(AmphibianTMSystems)(美国纽约,罗切斯特市(Rochester))的两栖干涉仪(Amphibian Interferometer)。
实施例
以下实施例描述了根据本发明的优选方法。然而应理解,这些实施例通过举例的方式提供,其所含的任何内容都不应视作对本发明整体范围的限制。
实施例1
铝/硅溶胶-凝胶硬掩模
1.聚合物合成
为了制备聚合物,将1.32克的苯基三甲氧基硅烷(格勒斯特公司(Gelest);宾夕法尼亚州莫里斯维尔),6.54克的乙烯基三甲氧基硅烷(格勒斯特公司(Gelest)),14.06克的甲基三甲氧基硅烷(格勒斯特公司(Gelest)),45.11克的(乙基乙酰乙酸酯)二异丙醇(diisopropoxideethylacetoacetate)铝(75%固体在IPA中的溶液,购自格勒斯特公司(Gelest),用PGMEA稀释到40%固体),以及93.00克的PGMEA(超纯解决方案公司(Ultra Pure Solutions,Inc.))添加到圆底烧瓶中。在10分钟的时段内,将17.15克的3N乙酸溶液(17.6%乙酸和82.4%水)在搅拌下缓慢加入该烧瓶。给该圆底烧瓶安装蒸馏头、蒸馏柱、和收集瓶。然后,将该溶液在95℃下加热3小时。
2.硬掩模制剂
通过混合12.03克上述制备的聚合物溶液(~8.88%固体)和6.36克的PGMEA、16.4克的PGME、和0.89克0.4%在PGME中的苄基三乙基氯化铵溶液(BTEAC)制备3.0重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在240℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是,且不溶于浇铸溶剂(即,无法通过浇铸溶剂去除)。该膜的光学性质和蚀刻速率见表1。为了对比,也将传统的硅硬掩模(
Figure BDA0000468744280000193
HM710;布鲁尔科技公司(Brewer Science Inc.))旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在205℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是
Figure BDA0000468744280000194
。硅硬掩模的蚀刻速率也见表1。
表1.
3.用作减反射涂层的硬掩模
用35.克的PGMEA和35.75克的PGME进一步稀释上述制备的硬掩模制剂,以制备1重量%固体溶液,并将膜厚度降低到
Figure BDA0000468744280000195
。然后用市售的旋涂碳材料(布鲁尔科技公司(Brewer Science)
Figure BDA0000468744280000196
SOC110D)旋涂300纳米硅晶片,厚度为320纳米并在205℃的热台上烘烤60秒。然后,将稀释的硬掩模制剂旋涂到该旋涂碳层上,并在240℃的热台上烘烤60秒。最后,将193-纳米光刻胶(TOK TArF Pi6-001)旋涂到该硬掩模层顶部,厚度为105纳米,并在120℃下烘烤60秒。使用ASML XT:1900i步进电机(1.35NA)和使用水作为浸没流体,来进行平版印刷曝光。然后,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。在光刻胶中得到的40纳米的线的扫描电子显微镜图像见图2。
实施例2
钛/硅溶胶-凝胶硬掩模
1.聚合物合成
在本实施例中,通过将1.21克的苯基三甲氧基硅烷(格勒斯特公司(Gelest)),6.00克的乙烯基三甲氧基硅烷(格勒斯特公司(Gelest)),11.01克的甲基三甲氧基硅烷(格勒斯特公司(Gelest)),68.06克的(双2,4-戊二酮)二异丙醇钛(75%固体在IPA中的溶液,购自格勒斯特公司(Gelest),用PGMEA稀释到40%固体),以及80.50克的PGMEA(超纯解决方案公司(Ultra Pure Solutions,Inc.))添加到圆底烧瓶中来制备聚合物。在10分钟的时段内,将17.08克的3N乙酸溶液(17.6%乙酸和82.4%水)在搅拌下缓慢加入该烧瓶。给该圆底烧瓶安装蒸馏头、蒸馏柱、和收集瓶。然后,将该溶液在95℃下加热4小时。
2.硬掩模制剂
通过混合6.01克上述的聚合物溶液(9.06%固体)和17.85克的PGMEA、12.39克的PGME制备1.5重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在240℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是
Figure BDA0000468744280000201
,且不溶于浇铸溶剂。该膜的光学性质和蚀刻速率见表2。
表2.
Figure BDA0000468744280000211
3.用作减反射涂层的硬掩模
用2.81.克的PGMEA和2.81克的PGME进一步稀释上述制备的硬掩模制剂,以将固体降低到1.3重量%,并将膜厚度降低到
Figure BDA0000468744280000212
。然后用市售的旋涂碳材料(布鲁尔科技公司(Brewer Science)
Figure BDA0000468744280000213
SOC110D)旋涂300纳米硅晶片,厚度为320纳米并在205℃的热台上烘烤60秒。然后,将稀释的硬掩模制剂旋涂到该旋涂碳层上,并在240℃的热台上烘烤60秒。最后,将193-纳米光刻胶(TOK TArF Pi6-001)旋涂到该硬掩模层上,厚度为105纳米,并在120℃下烘烤60秒。使用ASMLXT:1900i步进电机(1.35NA)和使用水作为浸没流体,来进行平版印刷曝光。然后,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。所得45纳米的线的扫描电子显微镜图像见图3。
实施例3
钛/硅溶胶-凝胶硬掩模2
1.聚合物合成
在该步骤中,制备了第二种钛/硅溶胶-凝胶硬掩模。为了制备该聚合物,将21.11克的甲基三甲氧基硅烷(格勒斯特公司(Gelest)),32.40克的(双2,4-戊二酮)二异丙醇钛(75%固体在IPA中,格勒斯特公司(Gelest)),以及117.50克的PGMEA(超纯解决方案公司(Ultra PureSolutions,Inc.))添加到圆底烧瓶中。在10分钟的时段内,将5.75克的3N乙酸溶液(17.6%乙酸和82.4%水)在搅拌下缓慢加入该烧瓶。给该圆底烧瓶安装蒸馏头、蒸馏柱、和收集瓶,以及将该溶液在95℃下加热4小时。
2.硬掩模制剂
通过混合6.00克上述的聚合物溶液(9.56%固体)和19.03克的PGMEA、3.05克的PGME、和0.72克0.4%在PGME中的苄基三乙基氯化铵溶液(BTEAC)制备2.0重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在240℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是
Figure BDA0000468744280000222
,且不溶于浇铸溶剂。该膜的光学性质见表3。
表3.
Figure BDA0000468744280000221
实施例4
钛/硅溶胶-凝胶硬掩模3
1.聚合物合成
在该步骤中,制备了第三种钛/硅溶胶-凝胶硬掩模制剂。为了制备该聚合物,将6.89克的三乙氧基硅烷(格勒斯特公司(Gelest)),16.62克的甲基三甲氧基硅烷(格勒斯特公司(Gelest)),32.30克的(双2,4-戊二酮)二异丙醇(diisopropoxide(bis-2,4-pentanedione))钛(75%固体在IPA中,格勒斯特公司(Gelest)),以及80.50克的PGMEA(超纯解决方案公司(Ultra Pure Solutions,Inc.))添加到圆底烧瓶中。在10分钟的时段内,将11.18克的3N乙酸溶液(17.6%乙酸和82.4%水)在搅拌下缓慢加入该烧瓶。然后,给该圆底烧瓶安装蒸馏头、蒸馏柱、和收集瓶。将该溶液在92.5℃下加热4小时然后,清空该烧瓶并倒入瓶子中,并随后用90.0克PGMEA冲洗,该PGMEA也倒入该瓶子中。最终溶液包括6.81%固体含量。
2.硬掩模制剂
通过混合8.00克上述制备的聚合物溶液和14.93克的PGMEA、3.76克的PGME、和0.68克0.4%在PGME中的苄基三乙基氯化铵溶液(BTEAC)制备2.0重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在240℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是
Figure BDA0000468744280000232
,且不溶于浇铸溶剂。该膜的光学性质见表4。
表4.
Figure BDA0000468744280000231
实施例5
钛/锆纳米颗粒硬掩模
1.纳米簇团的合成
在该过程中,制备了包括纳米簇团的硬掩模制剂。首先,将1.94克的正丁醇钛,97%(格勒斯特公司(Gelest))添加到圆底烧瓶中,随后添加剂2.71克的正丁醇锆(在丁醇中80%的溶液;格勒斯特公司(Gelest))。然后,将4.17克的99%甲基丙烯酸的溶液(西格玛奥德里奇公司(Sigma-Aldrich))添加到该混合物中,并在室温下存放。在1周之后,通过倾析母液、随后在室温下真空干燥24小时来分离合成的纳米簇团。
2.硬掩模制剂
通过混合1.12克上述制备的干燥的纳米簇团和18.93克的PGME制备5.6重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在205℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是,且不溶于浇铸溶剂。该膜的光学常数和蚀刻速率见表5。
表5.
Figure BDA0000468744280000241
实施例6
铪纳米颗粒硬掩模
1.纳米簇团的合成
在该过程中,制备了第二种包括纳米簇团的硬掩模制剂。首先,将1.5834克的正丁醇铪,95%(格勒斯特公司(Gelest))添加到烧瓶中,随后添加1.610克99重量%的甲基丙烯酸的溶液(西格玛奥德里奇公司(Sigma-Aldrich))。然后将该混合物在室温下存放1周。然后,通过丢弃母液、随后在室温下真空干燥24小时来分离合成的纳米簇团。
2.硬掩模制剂
通过混合0.314克上述制备的干燥的纳米簇团和19.69克的PGME制备1.57重量%的固体溶液,来制备硬掩模制剂。将硬掩模制剂旋涂到4英寸硅晶片上,旋涂速度是1,500rpm且时间是60秒,然后在205℃的热台上烘烤60秒。通过椭圆光度法测量发现所得固化膜的厚度是
Figure BDA0000468744280000242
,且不溶于浇铸溶剂。该膜的光学常数和蚀刻速率见表6。
表6.
实施例7
钛/硅硬掩模单体/聚合物共混物
在该步骤中,通过将19.95克的聚(乙烯基三甲氧基硅烷)(在PGMEA中15%固体,由实验室制备),4.24克的双(乙基乙酰乙酸酯)二异丙醇(bis(ethylacetoacetate)diisopropoxide)钛(IV)(10毫摩尔,格勒斯特公司(Gelest)),和19.44克的PGMEA(超纯解决方案公司(UltraPure Solutions,Inc.))添加到烧瓶中来制备钛/硅硬掩模。然后,将该溶液搅拌16小时以产生硬掩模制剂。将该制剂以1,500rpm的速度旋涂到硅基片上,且随后在205℃下烘烤60秒。然后,将ArF光刻胶(TArF Pi6001;购自TOK)以1,500rpm的速度旋涂到该硬掩模层上,且随后在120℃下烘烤60秒。然后曝光该层叠件,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。
实施例8
钛/硅硬掩模单体/聚合物共混物2
在该步骤中,通过将20.37克的聚(乙烯基三甲氧基硅烷)(在PGMEA中15%固体),4.07克的(双2,4-戊二酸酯)二异丙氧基钛(titaniumdiisopropoxide(bis-2,4-pentanedionate))(在IPA中75%固体,格勒斯特公司(Gelest)),和75.56克的PGMEA(超纯解决方案公司(Ultra PureSolutions,Inc.))添加到烧瓶中来制备钛/硅硬掩模制剂。将该溶液搅拌16小时以产生硬掩模制剂。将所得制剂以1,500rpm的速度旋涂到硅基片上,且随后在205℃下烘烤60秒。然后,将ArF光刻胶(TArF Pi6001;购自TOK)以1,500rpm的速度旋涂到该硬掩模层上,且随后在120℃下烘烤60秒。然后曝光该层叠件,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。
实施例9
锆/硅硬掩模单体/聚合物共混物
在该步骤中,通过将2.67克的聚(乙烯基三甲氧基硅烷)(在PGMEA中15%固体),0.67克的(双-2,4-戊二酸酯)二正丁醇(di-n-butoxide(bis-2,4-pentanedionate))锆(在正丁醇中60%固体,格勒斯特公司(Gelest)),和11.67克的PGMEA(超纯解决方案公司(Ultra PureSolutions,Inc.))添加到烧瓶中来制备锆/硅硬掩模制剂。将该溶液搅拌16小时以产生硬掩模制剂。将所得制剂以1,500rpm的速度旋涂到硅基片上,且随后在205℃下烘烤60秒。然后,将ArF光刻胶(TArF Pi6001;购自TOK)以1,500rpm的速度旋涂到该硬掩模层上,且随后在120℃下烘烤60秒。然后曝光该层叠件,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。
实施例10
铪/硅硬掩模单体/聚合物共混物
在该步骤中,通过将2.67克的聚(乙烯基三甲氧基硅烷)(在PGMEA中15%固体),0.80克的(双-2,4-戊二酸酯)二正丁醇铪(在甲苯/正丁醇中50%固体,格勒斯特公司(Gelest)),和12.53克的PGMEA(超纯解决方案公司(Ultra Pure Solutions,Inc.))添加到烧瓶中来制备铪/硅硬掩模制剂。然后将该溶液搅拌16小时。将所得制剂以1,500rpm的速度旋涂到硅基片上,且随后在205℃下烘烤60秒。然后,将ArF光刻胶(TArF Pi6001;购自TOK)以1,500rpm的速度旋涂到该硬掩模层上,且随后在120℃下烘烤60秒。然后曝光该层叠件,在曝光之后将晶片在110℃下烘烤60秒并通过与TMAH一起搅拌30秒来显影,随后用水冲洗并甩干。

Claims (37)

1.一种硬掩模组合物,其包括分散或溶解于溶剂系统中的金属氧化物前体化合物,所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中:
所述聚合物和低聚物包括下述重复出现的单体单元:
Figure FDA0000468744270000011
所述单体具有下述分子式:
Figure FDA0000468744270000012
其中:
m至少是1;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基和羰基,
所述组合物基本上不含硅。
2.如权利要求1所述的组合物,其特征在于,各(M)独立选自下组:铝、钛、锆、钒、锗、铝、铪、镓、铊、锑、铅、铋、铟、锡、硼、锗、砷、碲、和稀土金属。
3.如权利要求1所述的组合物,其特征在于,所述组合物基本上不含交联剂。
4.如权利要求1所述的组合物,其特征在于,所述组合物基本上不含添加的发色团或光减弱部分。
5.如权利要求1所述的组合物,其特征在于,所述前体化合物是聚合物或低聚物,以所述组合物的总重量为100重量%计,所述前体化合物以下述水平存在于该组合物中:约0.1%-约5重量%。
6.如权利要求1所述的组合物,其特征在于,所述前体化合物是单体,以所述组合物的总重量为100重量%计,所述前体化合物以下述水平存在于该组合物中:约0.3%-约5重量%。
7.一种硬掩模组合物,其包括分散或溶解于溶剂系统中的金属氧化物前体化合物,所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中:
所述聚合物和低聚物包括下述重复出现的单体单元:
Figure FDA0000468744270000021
所述单体具有下述分子式:
Figure FDA0000468744270000031
其中:
各m至少是2;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基和羰基,
所述组合物还包括硅,以及不溶于水性碱性显影剂。
8.如权利要求7所述的组合物,其特征在于,各(M)独立选自下组:铝、钛、锆、钒、锗、铝、铪、镓、铊、锑、铅、铋、铟、锡、硼、锗、砷、碲、和稀土金属。
9.如权利要求7所述的组合物,其特征在于,所述前体化合物是聚合物或低聚物,以所述组合物的总重量为100重量%计,所述前体化合物以下述水平存在于该组合物中:约0.3%-约5重量%。
10.如权利要求7所述的组合物,其特征在于,所述前体化合物是单体,以所述组合物的总重量为100重量%计,所述前体化合物以下述水平存在于该组合物中:约0.3%-约5重量%。
11.如权利要求7所述的组合物,其特征在于,以所述组合物的总重量为100重量%计,所述组合物包括约0.025%-约10重量%的硅。
12.如权利要求7所述的组合物,其特征在于,所述硅作为含硅单体重复单元存在,该重复单元具有下述分子式:
Figure FDA0000468744270000041
其中:
y指单体重复单元;
z是1-2;以及
各R4独立地选自下组:苯基、烷基、氢、烯基和炔基。
13.如权利要求12所述的组合物,其特征在于,所述前体化合物是具有分子式(I)的聚合物或低聚物,所述含硅单体重复单元作为共聚单体存在于所述聚合物或低聚物中。
14.如权利要求13所述的组合物,其特征在于,所述前体化合物中x:y的比例是约10:1-约1:10。
15.如权利要求12所述的组合物,其特征在于,所述前体化合物是单体,所述含硅单体重复单元作为硅聚合物存在,该硅聚合物与所述前体化合物一起分散或溶解于所述溶剂系统中。
16.如权利要求7所述的组合物,其特征在于,所述硅作为含硅单体存在,该含硅单体与所述前体化合物一起分散或溶解于所述溶剂系统中,所述含硅单体选自下组:
Si(OR3)n(R4)m,Si(Cl)n(R4)m,及其组合,
其中:
各m独立的是0-2;
各n独立的是2-4;
各R3独立地选自下组:烷基,苯基,硅氧基;以及
各R4独立地选自下组:苯基,烷基,氢,烯基,炔基,萘基,甲硅烷基,和吡啶基。
17.如权利要求16所述的组合物,其特征在于,以所述组合物的总重量为100重量%计,所述组合物包括约0.025%-约10重量%的硅。
18.如权利要求7所述的组合物,其特征在于,所述组合物基本上不含交联剂。
19.如权利要求7所述的组合物,其特征在于,所述组合物基本上不含添加的发色团或光减弱部分。
20.一种形成微电子结构的方法,所述方法包括:
提供具有表面的基片;
任选地在所述表面上形成一个或多个中间层;
如果存在所述中间层,将硬掩模组合物施加到邻近所述中间层处,如果不存在所述中间层,将硬掩模组合物施加到邻近所述基片表面处,来形成层叠件,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中所述组合物基本上不含硅;以及
将所述硬掩模组合物加热到至少约205℃来产生包括金属氧化物膜的固化硬掩模层,其中所述固化硬掩模层不溶于水性碱性显影剂。
21.如权利要求20所述的方法,其特征在于,所述方法还包括施加邻近所述硬掩模层的成像层。
22.如权利要求21所述的方法,所述方法还包括:
将所述成像层的至少一部分暴露于活化辐射,以产生所述成像层的曝光的部分;以及
使所述曝光的部分与显影剂相接触,以去除所述曝光的部分并产生图案化的成像层。
23.如权利要求22所述的方法,其特征在于,在所述接触中,邻近所述曝光的部分的所述固化硬掩模层没有被去除。
24.如权利要求22所述的方法,其特征在于,所述方法还包括通过蚀刻将所述图案转移到所述硬掩模层上。
25.如权利要求20所述的方法,其特征在于,所述中间层选自下组:旋涂碳层,无定形碳层,底部减反射涂层,平面化层,以及上述层的组合。
26.如权利要求25所述的方法,其特征在于,所述层叠件包括旋涂碳中间层,所述层叠件不含任何的减反射涂层。
27.如权利要求20所述的方法,其特征在于,所述金属氧化物前体化合物选自下组:
包括下述重复出现的单体单元的聚合物和低聚物:
具有下述分子式的金属或准金属单体:
Figure FDA0000468744270000062
其中:
m至少是1;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基,苯基,和羰基。
28.如权利要求27所述的方法,其特征在于,所述金属氧化物前体化合物是具有分子式(II)的金属或准金属单体,以及其中所述加热包括聚合所述单体来产生所述金属氧化物膜。
29.一种形成微电子结构的方法,所述方法包括:
提供具有表面的基片;
任选地在所述表面上形成一个或多个中间层;
如果存在所述中间层,将硬掩模组合物施加到邻近所述中间层处,如果不存在所述中间层,将硬掩模组合物施加到邻近所述基片表面处,来形成层叠件,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中:
所述聚合物和低聚物包括下述重复出现的单体单元:
Figure FDA0000468744270000071
所述单体具有下述分子式:
Figure FDA0000468744270000081
其中:
各m至少是2;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基和羰基,
所述组合物还包括硅;
加热所述硬掩模组合物来产生包括金属氧化物膜的固化硬掩模层,其中所述固化硬掩模层不溶于水性碱性显影剂。
30.如权利要求29所述的方法,其特征在于,所述硅作为含硅单体重复单元存在,该重复单元具有下述分子式:
Figure FDA0000468744270000082
其中:
y指单体重复单元;
z是1-2;以及
各R4独立地选自下组:苯基、烷基、氢、烯基和炔基。
31.如权利要求30所述的方法,其特征在于,所述前体化合物是具有分子式(I)的聚合物或低聚物,所述含硅单体重复单元作为共聚单体存在于所述聚合物或低聚物中。
32.如权利要求30所述的方法,其特征在于,所述前体化合物是单体,所述含硅单体重复单元作为硅聚合物存在,该硅聚合物与所述前体化合物一起分散或溶解于所述溶剂系统中。
33.如权利要求29所述的方法,其特征在于,所述硅作为含硅单体存在,该含硅单体与所述前体化合物一起分散或溶解于所述溶剂系统中,所述含硅单体选自下组:
Si(OR3)n(R4)m,Si(Cl)n(R4)m,及其组合,
其中:
各m独立的是0-2;
各n独立的是2-4;
各R3独立地选自下组:烷基,苯基,和硅氧基;以及
各R4独立地选自下组:苯基,烷基,氢,萘基,甲硅烷基,和吡啶基。
34.一种微电子结构,其包括:
具有表面的基片;
邻近所述基片表面上的一个或多个任选的中间层;以及
固化硬掩模层,如果存在所述中间层,该固化硬掩模层邻近所述中间层,如果不存在所述中间层,该固化硬掩模层邻近所述基片表面,所述固化硬掩模层不溶于水性碱性显影剂,且由硬掩模组合物形成,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中:
所述聚合物和低聚物包括下述重复出现的单体单元:
Figure FDA0000468744270000101
所述单体具有下述分子式:
其中:
m至少是1;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基,苯基,和羰基,
所述组合物基本上不含硅。
35.如权利要求34所述的结构,其特征在于,所述中间层选自下组:旋涂碳层,无定形碳层,底部减反射涂层,平面化层,以及上述层的组合。
36.如权利要求35所述的结构,其特征在于,所述结构包括旋涂碳中间层,所述结构不含任何的减反射涂层。
37.一种微电子结构,其包括:
具有表面的基片;
邻近所述基片表面上的一个或多个任选的中间层;以及
固化硬掩模层,如果存在所述中间层,该固化硬掩模层邻近所述中间层,如果不存在所述中间层,该固化硬掩模层邻近所述基片表面,所述固化硬掩模层不溶于水性碱性显影剂,且由硬掩模组合物形成,所述硬掩模组合物包括分散或溶解于溶剂系统中的金属氧化物前体化合物,其中所述前体化合物选自下组:聚合物、低聚物、单体及其混合物,其中:
所述聚合物和低聚物包括下述重复出现的单体单元:
Figure FDA0000468744270000111
所述单体具有下述分子式:
Figure FDA0000468744270000112
其中:
各m至少是2;
各n独立的是1-3;
a是组成所有氧化物连接的原子数目;
x指单体重复单元;
M是除了硅以外的金属或准金属;
各R1和R2独立的选自下组:氢、烷基、芳基、烷氧基、苯氧基和乙酰氧基;以及
各R3独立地选自下组:烷基和羰基,
所述组合物还包括硅。
CN201280041124.3A 2011-07-07 2012-06-26 用于平版印刷应用的来自小分子的金属氧化物膜 Active CN103781854B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/178,301 2011-07-07
US13/178,301 US8647809B2 (en) 2011-07-07 2011-07-07 Metal-oxide films from small molecules for lithographic applications
PCT/US2012/044248 WO2013006314A2 (en) 2011-07-07 2012-06-26 Metal-oxide films from small molecules for lithographic applications

Publications (2)

Publication Number Publication Date
CN103781854A true CN103781854A (zh) 2014-05-07
CN103781854B CN103781854B (zh) 2017-02-22

Family

ID=47437628

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280041124.3A Active CN103781854B (zh) 2011-07-07 2012-06-26 用于平版印刷应用的来自小分子的金属氧化物膜

Country Status (7)

Country Link
US (1) US8647809B2 (zh)
EP (1) EP2729534B1 (zh)
JP (1) JP6109164B2 (zh)
KR (1) KR101902046B1 (zh)
CN (1) CN103781854B (zh)
TW (1) TWI567489B (zh)
WO (1) WO2013006314A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105473764A (zh) * 2013-06-25 2016-04-06 赢创德固赛有限公司 金属氧化物前驱体、包含该金属氧化物前驱体的涂层组合物、及其用途
CN109154777A (zh) * 2016-04-28 2019-01-04 三菱瓦斯化学株式会社 抗蚀剂下层膜形成用组合物、光刻用下层膜、及图案形成方法

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5853382B2 (ja) * 2011-03-11 2016-02-09 ソニー株式会社 半導体装置の製造方法、及び電子機器の製造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
SG10201607603VA (en) 2011-10-10 2016-11-29 Brewer Science Inc Spin-on carbon compositions for lithographic processing
US8795774B2 (en) * 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9136123B2 (en) * 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US9171720B2 (en) * 2013-01-19 2015-10-27 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8961807B2 (en) 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
US9201305B2 (en) * 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296879B2 (en) 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
TWI653507B (zh) * 2014-02-07 2019-03-11 比利時商愛美科公司 用於減低微影製程後線寬粗糙度之電漿方法
DE102014202718A1 (de) 2014-02-14 2015-08-20 Evonik Degussa Gmbh Beschichtungszusammensetzung, Verfahren zu ihrer Herstellung und ihre Verwendung
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) * 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR20170059991A (ko) * 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
KR20170059992A (ko) * 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
US9704711B2 (en) * 2015-03-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based middle layer composition
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
WO2017033943A1 (ja) 2015-08-24 2017-03-02 学校法人関西大学 リソグラフィー用材料及びその製造方法、リソグラフィー用組成物、パターン形成方法、並びに、化合物、樹脂、及びこれらの精製方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108885987A (zh) * 2016-03-14 2018-11-23 国立大学法人北陆先端科学技术大学院大学 层叠体、蚀刻掩模、层叠体的制造方法、蚀刻掩模的制造方法、及薄膜晶体管的制造方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102016117912A1 (de) * 2016-09-22 2018-03-22 Nexwafe Gmbh Verfahren zum Anordnen mehrerer Saatsubstrate an einem Trägerelement und Trägerelement mit Saatsubstraten
US11227766B2 (en) * 2016-09-30 2022-01-18 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10520821B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
KR102028920B1 (ko) * 2017-11-28 2019-10-07 이현주 금속 발색 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230124033A (ko) * 2020-12-24 2023-08-24 도쿄 오카 고교 가부시키가이샤 금속 산화물막 형성성 조성물, 이를 이용한 금속 산화물막의제조 방법, 및 금속 산화물막의 체적 수축율을 저감시키는 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2023275221A1 (en) * 2021-07-02 2023-01-05 Merck Patent Gmbh Metal complexes for optical and microelectronic applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058275A1 (en) * 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
EP1520891A1 (en) * 2003-09-25 2005-04-06 JSR Corporation Film forming composition, process for producing film forming composition, insulating film forming material, process for forming film, and silica-based film
EP2063319A1 (en) * 2007-11-22 2009-05-27 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film, metal oxide-containing film-bearing substrate, and patterning method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62269140A (ja) * 1986-05-16 1987-11-21 Matsushita Electric Ind Co Ltd パタ−ン形成方法
JPH07331173A (ja) * 1995-02-21 1995-12-19 Toray Ind Inc 光学材料形成用塗液組成物および光学材料
JP3435262B2 (ja) * 1995-09-11 2003-08-11 株式会社日立製作所 反射防止膜
US6380611B1 (en) * 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6303270B1 (en) 1999-03-01 2001-10-16 The Curators Of The University Of Missouri Highly plasma etch-resistant photoresist composition containing a photosensitive polymeric titania precursor
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP2001272786A (ja) * 2000-03-24 2001-10-05 Toshiba Corp パターン形成方法
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6740469B2 (en) * 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US20040048194A1 (en) 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
JP2006201361A (ja) 2005-01-19 2006-08-03 Tokyo Ohka Kogyo Co Ltd シリルフェニレン系ポリマーを含有する中間層形成用組成物およびそれを用いたパターン形成方法
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
KR100796047B1 (ko) 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
EP2245512B1 (en) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
KR101697790B1 (ko) * 2008-04-23 2017-02-01 브레우어 사이언스 인코포레이션 마이크로리소그래피용 감광성 하드마스크
JP5015891B2 (ja) * 2008-10-02 2012-08-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP4826846B2 (ja) * 2009-02-12 2011-11-30 信越化学工業株式会社 パターン形成方法
US8323871B2 (en) * 2010-02-24 2012-12-04 International Business Machines Corporation Antireflective hardmask composition and a method of preparing a patterned material using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058275A1 (en) * 2002-06-25 2004-03-25 Brewer Science, Inc. Wet-developable anti-reflective compositions
TW200408685A (en) * 2002-06-25 2004-06-01 Brewer Science Inc Wet-developable anti-reflective compositions
EP1520891A1 (en) * 2003-09-25 2005-04-06 JSR Corporation Film forming composition, process for producing film forming composition, insulating film forming material, process for forming film, and silica-based film
EP2063319A1 (en) * 2007-11-22 2009-05-27 Shin-Etsu Chemical Co., Ltd. Metal oxide-containing film-forming composition, metal oxide-containing film, metal oxide-containing film-bearing substrate, and patterning method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105473764A (zh) * 2013-06-25 2016-04-06 赢创德固赛有限公司 金属氧化物前驱体、包含该金属氧化物前驱体的涂层组合物、及其用途
CN105473764B (zh) * 2013-06-25 2018-11-23 赢创德固赛有限公司 金属氧化物前驱体、包含该金属氧化物前驱体的涂层组合物、及其用途
CN109154777A (zh) * 2016-04-28 2019-01-04 三菱瓦斯化学株式会社 抗蚀剂下层膜形成用组合物、光刻用下层膜、及图案形成方法

Also Published As

Publication number Publication date
EP2729534A2 (en) 2014-05-14
WO2013006314A3 (en) 2013-04-11
WO2013006314A2 (en) 2013-01-10
JP6109164B2 (ja) 2017-04-05
US20130011630A1 (en) 2013-01-10
TW201307996A (zh) 2013-02-16
US8647809B2 (en) 2014-02-11
TWI567489B (zh) 2017-01-21
EP2729534B1 (en) 2019-05-01
JP2014532289A (ja) 2014-12-04
EP2729534A4 (en) 2015-02-25
KR20140061381A (ko) 2014-05-21
KR101902046B1 (ko) 2018-09-27
CN103781854B (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
CN103781854A (zh) 用于平版印刷应用的来自小分子的金属氧化物膜
CN102016724B (zh) 用于微型光刻的光敏性硬掩模
CN101971102B (zh) 用来通过多次暗视场曝光对硬掩模进行图案化的在线法
CN104380194B (zh) 用于导向自组装的硅硬掩模层
CN105492972B (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
TWI606098B (zh) 可溶性金屬氧化物羧酸鹽之旋轉塗佈組合物及其使用方法
US7855045B2 (en) Immersion topcoat materials with improved performance
CN102395925B (zh) 可溶于显影剂的酸敏性底部减反射涂料
EP1951829B1 (en) Water castable - water strippable top coats for 193 nm immersion lithography
WO2008038131A1 (en) Antireflective coating compositions
JP2011513772A (ja) 193nmリソグラフィー用の二重層の感光性で現像液に可溶な底面反射防止塗膜
TW200937130A (en) A process for imaging a photoresist coated over an antireflective coating
TW200421038A (en) Composition containing acrylic polymer for forming gap-filling material for lithography
CN108139673A (zh) 包含金属氧化物的材料、其制备方法及其使用方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant