CN103579186B - 连接通孔至器件 - Google Patents

连接通孔至器件 Download PDF

Info

Publication number
CN103579186B
CN103579186B CN201210587635.7A CN201210587635A CN103579186B CN 103579186 B CN103579186 B CN 103579186B CN 201210587635 A CN201210587635 A CN 201210587635A CN 103579186 B CN103579186 B CN 103579186B
Authority
CN
China
Prior art keywords
contact
layer
interlayer dielectric
hole
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210587635.7A
Other languages
English (en)
Other versions
CN103579186A (zh
Inventor
陈明发
王宇洋
詹森博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103579186A publication Critical patent/CN103579186A/zh
Application granted granted Critical
Publication of CN103579186B publication Critical patent/CN103579186B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

连接通孔至器件。本发明提供了用于连接通孔和由应变硅材料形成的晶体管端子的方法和器件。端子可以是NMOS或PMOS晶体管的源极或漏极,其形成在衬底内。衬底上方的第一层间介电(ILD)层内的第一接触件形成在端子上方并且与端子连接。通孔延伸穿过第一ILD层至衬底中。第二接触件形成在位于第二ILD层和接触蚀刻终止层(CESL)内的第一接触件和通孔上方并且与第一接触件和通孔连接。第二ILD层位于CESL上方,而CESL位于第一ILD层上方,第一ILD层、第二ILD层和CESL全都位于晶体管的第一金属间介电(IMD)层和第一金属层之下。

Description

连接通孔至器件
技术领域
本发明涉及半导体制造,具体而言,涉及连接通孔和晶体管端子的器件和方法。
背景技术
自发明集成电路(IC)以来,由于半导体器件(例如,晶体管、二极管、电阻器、电容器等)的集成密度的不断改进以及半导体器件的关键尺寸(CD)的不断减小,半导体产业经历快速发展。随着半导体器件CD的不断减小,晶体管的栅极、源极和漏极的比例也相应减小,这导致决定晶体管中电流大小的载流子减少。
在提高晶体管性能的各种技术中,一种方法是向晶体管的沟道施加机械应力从而增大载流子迁移率并且减小电阻。使用这种方法的结果是产生应变硅晶体管。
另一方面,半导体器件的集成改进和CD的减小在性质上基本是二维的,原因在于集成器件占据的体积基本上处于半导体晶圆的表面。尽管光刻方面的显著改进在2D IC形成方面带来明显的改进,但是在二维方面可实现的密度仍存在物理限制。
在尝试进一步增大电路密度的过程中,研究开发了三维(3D)IC。在3D IC的典型形成工艺中,将两个管芯接合到一起并且每一个管芯和衬底上的接触焊盘之间形成电连接。例如,一种尝试涉及将两个管芯堆叠接合起来。然后将堆叠管芯接合到载具衬底和将每一管芯上的接触焊盘电连接至载具衬底上的接触焊盘的焊线(wire bond)。
在3D IC中,可以使用诸如通孔(例如,硅通孔(TSVs)或者衬底通孔)的各种方式将两个管芯彼此堆叠接合到一起。通常,通过蚀刻穿过衬底的垂直过孔并用诸如铜的导电材料填充该过孔来形成通孔。
发明内容
为了解决现有技术中存在的问题,根据本发明的一方面,提供了一种器件,所述器件包括:晶体管的端子,由应变硅材料形成并且形成在衬底内;第一接触件,位于所述端子上方并且与所述端子连接,所述第一接触件形成在所述衬底上方的第一层间介电(ILD)层内;通孔,穿过所述第一ILD层延伸至所述衬底中;以及第二接触件,位于所述第一接触件和所述通孔上方,所述第二接触件与所述第一接触件和所述通孔连接,并形成在第二ILD层和接触蚀刻终止层(CESL)内,其中所述第二ILD层位于所述CESL上方,而所述CESL位于所述第一ILD层上方。
所述的器件还包括:所述晶体管的栅极,形成在所述第一ILD层内;第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二ILD层和所述CESL内;过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接。
所述的器件还包括:所述晶体管的栅极,形成在所述第一ILD层内;第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二ILD层和所述CESL内;过孔,位于所述第三接触件上方并且与所述第三接触件连接;第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接;位于所述第二ILD层上方的蚀刻终止层(ESL)以及位于所述ESL上方的第一金属间介电(IMD)层,其中所述过孔穿过所述ESL和所述第一IMD层与所述第三接触件接触。
在所述的器件中,所述晶体管是NMOS晶体管或PMOS晶体管。
在所述的器件中,所述端子是所述晶体管的源极或漏极。
在所述的器件中,所述衬底包括选自基本上由掺杂体硅衬底、未掺杂体硅衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底或者合金半导体衬底所组成的组中的材料。
在所述的器件中,所述应变硅材料包括硅锗或硅锗碳。
在所述的器件中,所述通孔包括选自基本上由铜、钨、铝、银、金或它们的组合所组成的组中的导电材料。
在所述的器件中,所述通孔包括围绕延伸穿过所述第一ILD层至所述衬底中的通孔的衬垫和阻挡层。
在所述的器件中,所述第一ILD层和所述第二ILD层包括选自基本上由氧化物、SiO2、硼磷硅酸盐玻璃(BPSG)、四乙基原硅酸盐(TEOS)、旋涂玻璃(SOG)、未掺杂的硅酸盐玻璃(USG)、氟化硅酸盐玻璃(SFG)、高密度等离子体(HDP)氧化物或等离子体增强TEOS(PETEOS)所组成的组中的材料。
在所述的器件中,所述第一接触件和所述第二接触件包括选自基本上由铜、钨、铝、银、金或它们的组合所组成的组中的导电材料。
在所述的器件中,所述CESL包括选自基本上由氮化硅、碳化硅、氧化硅或其他高应力材料所组成的组中的材料。
所述的器件还包括:所述晶体管的栅极,形成在所述第一ILD层内;第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二ILD层和所述CESL内;过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接,其中,所述栅极包括栅极绝缘层和栅电极。
所述的器件还包括:所述晶体管的栅极,形成在所述第一ILD层内;第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二ILD层和所述CESL内;过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接,其中,所述栅极包括栅极绝缘层和栅电极,所述栅电极是金属栅电极,所述金属栅电极包括选自基本上由铪、锆、钛、钽、铝、金属碳化物、金属硅化物、金属氮化物或它们的组合所组成的组中的材料。
根据本发明的另一方面,提供了一种制造器件的方法,包括:使用应变硅材料在衬底内形成晶体管的端子;在所述衬底上方形成第一层间介电(ILD)层;在所述第一ILD层内形成第一接触件,其中所述第一接触件位于所述端子上方并且与所述端子连接;形成延伸穿过所述第一ILD层至所述衬底中的通孔;在所述第一ILD层上方形成接触蚀刻终止层(CESL);在所述CESL上方形成第二ILD层;以及在所述第二ILD层和所述CESL内形成第二接触件,其中所述第二接触件位于所述第一接触件和所述通孔上方并且与所述第一接触件和所述通孔连接。
所述的方法还包括:在所述第一ILD层内形成所述晶体管的栅极;在所述第二ILD层和所述CESL内形成第三接触件,其中所述第三接触件位于所述栅极上方并且与所述栅极连接;在所述第三接触件上方形成与所述第三接触件连接的过孔,以及在所述过孔上方形成与所述过孔连接的第一金属层的金属接触件。
所述的方法还包括:在所述第一ILD层内形成所述晶体管的栅极;在所述第二ILD层和所述CESL内形成第三接触件,其中所述第三接触件位于所述栅极上方并且与所述栅极连接;在所述第三接触件上方形成与所述第三接触件连接的过孔,在所述过孔上方形成与所述过孔连接的第一金属层的金属接触件;在所述第二ILD层上方形成蚀刻终止层(ESL);以及在所述ESL上方形成第一金属间介电(IMD)层,其中所述过孔穿过所述ESL和所述第一IMD层与所述第三接触件接触。
在所述的方法中,所述晶体管是NMOS晶体管或PMOS晶体管,并且所述端子是所述晶体管的源极或漏极。
在所述的方法中,所述应变硅材料包括硅锗或硅锗碳。
根据本发明的又一方面,提供了一种器件,所述器件包括:晶体管的端子,由应变硅材料形成并且形成在衬底内;第一接触件,位于所述端子上方并且与所述端子连接,所述第一接触件形成在所述衬底上方的第一层间介电(ILD)层内;所述晶体管的栅极,形成在所述第一ILD层内;通孔,延伸穿过所述第一ILD层至所述衬底中;第二接触件,位于所述第一接触件和所述通孔的上方,所述第二接触件与所述第一接触件和所述通孔连接,并且形成在第二ILD层和接触蚀刻终止层(CESL)内,其中所述第二ILD层位于所述CESL上方,而所述CESL位于所述第一ILD层上方;第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二ILD层和所述CESL内;过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接。
附图说明
为更充分地理解本发明及其优点,现在将参考结合附图所进行的以下描述,其中:
图1至图5示出根据实施例用于在应变硅晶体管和通孔之间形成连接的工艺的各个中间阶段。
除非另有说明,不同附图中的相应标号和符号通常指相应的部件。绘制附图用于清楚地示出各个实施例的相关方面而不必成比例绘制。
具体实施方式
在下面详细讨论实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明构思。所讨论的具体实施例仅仅是制造和使用本发明的示例性具体方式,而不用于限制本发明的范围。在所有各个附图和示例性实施例中,相同的编号用于指示相同的元件。
在以下描述中,公开了在用于形成三维集成电路(3D IC)的硅通孔和电路的应变硅晶体管的端子之间形成连接的情况下的实施例。通过在衬底上方的第一层间介电(ILD)层、第一ILD层上方的接触蚀刻终止层(CESL)以及CESL上方的第二ILD层中形成的接触件形成连接,第一ILD层、CESL层以及第二ILD层都位于晶体管的第一金属间介电(IMD)层和第一金属层之下。
如图1所示,提供衬底101。衬底101可以是电路、器件、管芯或晶圆的一部分。衬底101可以包括例如体硅(掺杂或未掺杂的)或绝缘体上半导体(SOI)衬底的有源层。通常,SOI衬底包括在绝缘层上形成的半导体材料(诸如硅)层。绝缘层可以是例如在衬底(通常为硅或玻璃衬底)上设置的埋氧(BOX)层或氧化硅层。还可以使用其他衬底,诸如多层或梯度衬底;或者包含化合物半导体衬底(例如砷化镓)或合金半导体衬底(例如硅锗)的衬底。衬底101具有其上可以形成晶体管100和其他器件的正面以及与正面相反的背面。
可以在衬底101中形成用于隔离器件区的多个浅沟槽隔离(STI)109或其他隔离结构。可以通过使用光刻技术蚀刻衬底101以形成凹槽来形成STI 109。通常,光刻包括沉积光刻胶材料,然后对光刻胶材料进行掩蔽、曝光以及显影。在图案化光刻胶掩模之后,可以实施蚀刻工艺以去除衬底101的不想要的部分。在衬底101包括体硅的实施例中,蚀刻工艺可以是湿法或干法、各向异性或各向同性蚀刻工艺。然后用通过在包含氧化物、H2O、NO或它们的组合的气氛中的任何氧化工艺(诸如湿法或干法热氧化)或者通过化学汽相沉积(CVD)方法使用四乙基原硅酸盐(TEOS)和氧气作为前体所形成的介电材料(诸如氧化物层)来填充凹槽。可以实施平坦化步骤以平坦化隔离材料的表面使其与衬底101的顶面齐平。可以例如使用本领域中已知的常用的化学机械抛光(CMP)工艺来完成平坦化步骤。
可以在两个STI 109之间形成阱102,在阱102内可以形成晶体管的源极和漏极。在图1只示出了用于一个晶体管100的一个阱102,而在实际器件中可能存在用于许多晶体管的许多阱。在实施例中,阱102可以是容纳NMOS晶体管100的源极和漏极的p型阱。可选地,阱102可以是容纳PMOS晶体管100的源极和漏极的n型阱。在实施例中,可以实施诸如退火程序的高温热程序以驱动并活化p型阱或者n型阱102中的掺杂物。例如,在实施例中,可以通过以约1E12至约1E14个原子/cm2的剂量在约20KeV至约500KeV的能量下注入诸如硼离子的p型离子来形成阱102。在实施例中,阱可以具有约10,000埃至约30,000埃的深度和约3,000埃至约10,000埃的宽度。其他实施例可以采用不同的深度、宽度和/或掺杂参数。
可以在阱102内形成晶体管100的两个端子103,其为晶体管100的源极和漏极。晶体管100可以是NMOS晶体管或者PMOS晶体管。两个端子103之间的区域104是用于晶体管100的沟道。可以首先在阱102中蚀刻出用于端子103的凹槽。可以通过干法等离子体蚀刻工艺实施凹槽的蚀刻。在衬底101是硅衬底的实施例中,等离子体蚀刻工艺可以应用氟化学物质。在阱102中形成凹槽之后,可以实施选择性外延以形成端子103。端子103可以是晶体管100的源极或者漏极中的任何一个。用于端子103的外延生长材料可以是具有与衬底101的材料不同的晶格常数的晶格不匹配半导体材料。用于端子103的晶格不匹配材料可以被称为应变硅材料。
在实施例中,晶体管100是PMOS晶体管,而用于端子103的晶格不匹配材料或者应变硅材料可以是具有Si1-xGex组分的硅锗,其中x可以在约0.1至约0.3的范围内。在另一实施例中,晶体管100是NMOS晶体管,而用于端子103的晶格不匹配材料或者应变硅材料可以是碳化硅或者硅锗碳(Si1-x-yGexCy),其中碳的摩尔分数y大于锗的摩尔分数x的十分之一。在实施例中,可以通过CVD使用Si2H6(乙硅烷)和GeH4(锗烷)作为源气体,采用衬底温度为约600至900℃,Si2H6分压为30mPa以及GeH4分压为60mPa的条件来生长诸如硅锗的晶格不匹配材料。可以用其他方法来生长用于端子103的硅锗或任何其他的应变硅材料。
用于端子103的应变硅材料是硅的一种形式,其中由于应变硅晶格和下面的硅材料(在其上形成应变硅材料)晶格的维数不同导致向硅晶格施加拉伸应变。在实施例中,用于端子103的硅锗晶格比用于衬底101的纯硅晶格具有更宽的间隔,并且间隔随着锗的百分比的增大而变得更宽。因为硅晶格在形成期间与较大的硅锗晶格对准,所以对用于端子103的硅层形成拉伸应变使得硅原子彼此被拉开。因此,相比于弛豫硅(relaxedsilicon),应变硅中的载流子迁移率大幅度增加,在整个沟道之间潜在地增加了80%或更大的电子迁移率以及20%或更大的空穴迁移率。
可以在衬底101的表面上形成栅极绝缘层118。可以通过热氧化生长栅极绝缘层118或者可以通过CVD沉积栅极绝缘层118。在实施例中,栅极绝缘层118的厚度可以介于约3埃和约50埃之间。在实施例中,氧化硅层可以用作由多晶硅材料形成的栅极的栅极绝缘层。栅极绝缘层118可以是诸如氧化物-氮化物-氧化物(ONO)的另一种材料。在实施例中,栅极绝缘层118可以是介电常数大于约10的高介电层,并且可以具有约15至约25的介电常数。栅极绝缘层118可以是选自由氧化铪(HfO)、氧化硅铪(HfSiO)、氮氧化铪(HfON)以及类似材料所组成的组中的材料。在可选的实施例中,栅极绝缘层118可以由相对介电常数大于约5的材料形成。这些材料的实例包括氧化铝、氧化镧、氧化锆或者它们的组合。
可以在栅极绝缘层118上方形成栅电极117。栅电极117的厚度可以介于约500埃和约2000埃之间的范围内。在实施例中,栅电极117可以包括多晶硅。在可选的实施例中,栅电极可以包括另一种材料,诸如多晶硅锗。可选地,栅电极117可以是代替多晶硅栅极的金属栅极。在一个实施例中,金属栅电极117可以包括铪、锆、钛、钽、铝或它们的合金或金属碳化物。金属碳化物可以是碳化铪、碳化锆、碳化钛、碳化钽、碳化铝等。在另一实施例中,金属栅电极117可以由导电材料诸如金属硅化物(例如,硅化钛、硅化钴、硅化镍、硅化钽)、金属氮化物(例如,氮化钛、氮化钽)、掺杂的多晶硅或多晶硅锗或任何其他的导电材料形成。还可以使用这些材料的组合。
然后可以实施光刻步骤,接着进行蚀刻步骤使用光刻胶掩模作为蚀刻掩模从而形成包括栅极绝缘层118和栅电极117的栅极堆叠件,如图1所示。蚀刻掩模可以包括抗反射涂层(BARC)硬掩模层。
可以在栅电极117和栅极绝缘层118的侧面上形成栅极阻挡件115。在实施例中,栅极阻挡件115可以通过CVD介电材料(例如,氧化硅或氮化硅)接着进行该介电材料的各向异性蚀刻来形成。在另一实施例中,栅极阻挡层115可以是包括介电衬垫和阻挡件主体的复合阻挡件。可以通过沉积介电衬垫材料(例如氧化硅)和阻挡件主体材料(例如氮化硅)然后进行各向异性蚀刻使用反应离子蚀刻来形成介电衬垫。在另一实施例中,衬垫可以是氧化物而阻挡件主体可以是氮化物。
可以在衬底101上方形成第一层间介电(ILD)层111。在第一ILD层111内可以包含由栅极绝缘层118、栅电极117和栅极阻挡件115组成的栅极堆叠件。第一ILD层111可以具有低介电常数(k值小于约3.0)或极低介电常数(k值小于约2.5)。作为实例,第一ILD层111可以包括氧化物、SiO2、硼磷硅酸盐玻璃(BPSG)、TEOS、旋涂玻璃(SOG)、未掺杂的硅酸盐玻璃(USG)、氟化硅酸盐玻璃(FSG)、高密度等离子体(HDP)氧化物或者等离子体增强TEOS(PETEOS)。可以实施诸如CMP工艺的平坦化工艺来平坦化第一ILD层111。
可以在第一ILD层111内形成多个接触件113。接触件113可以形成在端子103(即源极和漏极)上方。将接触件113连接到端子103。可以通过蚀刻从第一ILD层111的顶面到达端子103的凹槽来形成接触件113。可以诸如通过CVD、原子层沉积(ALD)、物理汽相沉积(PVD)、热氧化或它们的组合,在凹槽的侧壁上方沉积薄阻挡层(未示出)。阻挡层可以包括氮化物或氮氧化物,诸如氮化钛、氮氧化钛、氮化钽、氮氧化钽、氮化钨、和/或它们的组合等。可以在薄阻挡层上方和凹槽中沉积导电材料以形成接触件113。可以通过电化学镀工艺、CVD、ALD、PVD、和/或它们的组合等形成导电材料。导电材料可以是选自包含铜、钨、铝、银、金、和/或它们的组合等的组。接触件可以是由包含半导体材料和金属(诸如钴(Co)或镍(Ni))的化合物形成。可以通过例如CMP去除多余的导电材料和阻挡层,从而形成与端子103连接的接触件113。
可以在两个STI 109之间、第一ILD层内和衬底中形成通孔121。通孔121可以是硅通孔、衬底通孔或一些其他类型的通孔。可以通过蚀刻从第一ILD层111的顶面到衬底101中的开口来形成通孔121。开口的深度可以介于约10μm~300μm的范围内,而通孔121的宽度可以介于约1μm~30μm的范围内。可以在开口中的第一ILD层111和衬底101的上方形成覆盖开口的侧壁和底部的衬垫105。衬垫105可以是TEOS或氮化硅或者任何合适的介电材料。可以使用等离子体增强化学汽相沉积(PECVD)工艺或者诸如PVD或者热工艺的其他方法形成衬垫105。可以使用诸如CVD、ALD、PVD、热氧化或者它们的组合的方法在衬垫105上方沉积薄阻挡层107。阻挡层107可以包括氮化物或者氮氧化物,诸如氮化钛、氮氧化钛、氮化钽、氮氧化钽、氮化钨或它们的组合。
可以在薄阻挡层107上方的开口中沉积导电材料以形成通孔121。可以通过电化学镀工艺、CVD、ALD、PVD或它们的组合形成导电材料。导电材料可以选自由铜、钨、铝、银、金和/或它们的组合等所组成的组。可以通过例如CMP去除多余的导电材料和阻挡层,从而形成如图1示出的通孔121。
通孔121可以用于连接堆叠在一起的两个管芯。通孔121可以电连接到电气器件(例如,诸如晶体管100)或者可以电连接到衬底101正面上的外部电连接件。通孔121可以充当穿透通孔(pass-through via),允许连接至衬底101正面的器件与连接至衬底101背面的器件电通讯或者与不同管芯的器件通讯。
可以在第一ILD层111、接触件113、栅电极117和通孔121的上方形成充当高应力层的接触蚀刻终止层(CESL)119。CESL 119可以是由氮化硅、碳化硅、氧化硅或任何其他高应力材料覆盖形成。实际上,应力可以是压缩应力或者拉伸应力,并且可以具有介于0.1至4十亿帕斯卡(GPa)的大小。可以通过CVD工艺形成CESL 119。如本领域中公知的和常用的,CVD工艺可以是低压CVD(LPCVD)工艺或者PECVD工艺。施加在CESL上的应力在半导体衬底上的晶体管100的沟道中形成拉伸应变或压缩应变以进一步提高载流子迁移率。
如图2所示,可以在CESL 119上方形成第二层间介电(ILD)层121。第二ILD层121可以具有低介电常数(k值小于约3.0)或极低介电常数(k值小于约2.5)。在实施例中,第二ILD层121可以包括氧化物、SiO2、BPSG、TEOS、SOG、USG、FSG、HDP氧化物或PETEOS。可以实施诸如CMP工艺的平坦化工艺来平坦化第二ILD层121。
如图2所示,可以在第二ILD层121和CESL 119中形成接触件123、125和127。可以采用光刻技术通过沉积和图案化光刻胶层形成穿过第二ILD层121和CESL 119的开口,从而暴露对应于期望的开口位置的第二ILD层121和CESL 119的一部分。其后,可以使用各向异性蚀刻工艺蚀刻第二ILD层121和CESL 119。接触件123、125和127可以由导电材料形成,该导电材料选自由铜、钨、铝、银、金或它们的组合所组成的组。可以使用诸如CMP工艺的平坦化工艺从第二ILD层121的顶面去除多余量的导电材料。
在实施例中,在接触件113和通孔121的上方形成接触件127。接触件127将通孔121与第一ILD层111中的一个接触件113连接起来,该接触件113进一步连接到衬底101中的端子103。因此,通过CESL 119和第二ILD层121中的接触件127和第一ILD层111中的接触件113,可以在通孔121和端子103之间形成电连接。端子103可以是晶体管100的源极或漏极。将接触件125连接到栅电极117。将接触件123连接到晶体管100的另一个端子103。接触件125和接触件123将晶体管的源极或漏极和栅极连接到电路的其他部分,同时接触件127与通孔连接,该通孔进一步与其他管芯的器件连接。
如图3所示,可以在第二ILD层121上方形成覆盖接触件123、125和127的蚀刻终止层(ESL)129。可以通过薄膜生长技术形成ESL 129。ESL 129可以由氧化物材料、氮化物材料或基于碳的金刚石材料形成。ESL129可以通过CVD工艺形成。如本领域中公知的和常用的,CVD工艺可以是LPCVD工艺或PECVD工艺。
如图4所示,可以在ESL 129上方形成第一金属层M1。第一金属层M1可以包括导电布线层,其包含导线135和过孔133。导线135和过孔133形成在位于ESL 129上方的金属间介电(IMD)层131中。导线135可以由选自由铜、钨、铝、银、金、和/或它们的组合等所组成的组中的任何导电材料形成。IMD层131可以包括低介电常数或极低介电常数(ELK)材料,诸如氧化物、SiO2、BPSG、TEOS、SOG、USG、FSG、HDP氧化物或PETEOS。可以实施诸如CMP工艺的平坦化工艺来平坦化IMD层131。可以例如使用镀层和蚀刻工艺或者通过镶嵌或双镶嵌工艺来形成导线135和过孔133,在所述工艺中在相应的介电层中蚀刻出开口并且用导电材料填充该开口。
如图5所示,可以在第一金属层M1上方形成第二金属层M2。第二金属层M2可以包括导电布线层,其包含导线145和过孔143。导线145和过孔143形成在位于IMD层131上方的IMD层141中。导线145可以由选自由铜、钨、铝、银、金、和/或它们的组合等所组成的组中的任何导电材料形成。IMD层141可以包括低介电常数或极低介电常数(ELK)材料,诸如氧化物、SiO2、BPSG、TEOS、SOG、USG、FSG、HDP氧化物或PETEOS。可以实施诸如CMP工艺的平坦化工艺来平坦化IMD层141。可以例如使用镀层和蚀刻工艺或者通过镶嵌或双镶嵌工艺形成导线145和过孔143,在所述工艺中在相应的介电层中蚀刻出开口并且用导电材料填充该开口。
通常,还可以在第二金属层M2上方形成包含电连接个体器件的导线和过孔的更多金属化层M3-Mn。导线和过孔层可以形成在IMD层中。在实施例中,金属化层M3-Mn可以由铜形成,但是可以可选地利用其他材料诸如钨、铝、金等。在金属化层的顶部上,可以形成具有外部接触件的钝化层。外部接触件可以包括凸块下金属化(UBM)结构。
尽管已经详细地描述了本发明及其优势,但应该理解,可以在不背离所附权利要求限定的实施例的构思和范围的情况下,进行各种改变、替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明的发明内容将很容易地理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。

Claims (21)

1.一种半导体器件,包括:
晶体管的端子,由应变硅材料形成并且形成在衬底内;
第一接触件,位于所述端子上方并且与所述端子连接,所述第一接触件形成在所述衬底上方的第一层间介电(ILD)层内;
通孔,穿过所述第一层间介电层延伸至所述衬底中,其中,所述通孔的整个顶面与所述第一接触件的顶面齐平;以及
第二接触件,位于所述第一接触件和所述通孔上方并且为与所述第一接触件和所述通孔直接接触的连续件,所述第二接触件与所述第一接触件和所述通孔连接,并且整个第二接触件形成在第二层间介电层和接触蚀刻终止层(CESL)内,其中所述第二层间介电层位于所述接触蚀刻终止层上方,而所述接触蚀刻终止层位于所述第一层间介电层上方。
2.根据权利要求1所述的半导体器件,还包括:
所述晶体管的栅极,形成在所述第一层间介电层内;
第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二层间介电层和所述接触蚀刻终止层内;
过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及
第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接。
3.根据权利要求2所述的半导体器件,还包括:
位于所述第二层间介电层上方的蚀刻终止层(ESL)以及位于所述蚀刻终止层上方的第一金属间介电(IMD)层,其中所述过孔穿过所述蚀刻终止层和所述第一金属间介电层与所述第三接触件接触。
4.根据权利要求1所述的半导体器件,其中,所述晶体管是NMOS晶体管或PMOS晶体管。
5.根据权利要求1所述的半导体器件,其中,所述端子是所述晶体管的源极或漏极。
6.根据权利要求1所述的半导体器件,其中,所述衬底包括选自由掺杂体硅衬底、未掺杂体硅衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底或者合金半导体衬底所组成的组中的材料。
7.根据权利要求1所述的半导体器件,其中,所述应变硅材料包括硅锗或硅锗碳。
8.根据权利要求1所述的半导体器件,其中,所述通孔包括选自由铜、钨、铝、银、金或它们的组合所组成的组中的导电材料。
9.根据权利要求1所述的半导体器件,其中,所述通孔包括围绕延伸穿过所述第一层间介电层至所述衬底中的通孔的衬垫和阻挡层。
10.根据权利要求1所述的半导体器件,其中,所述第一层间介电层和所述第二层间介电层包括选自由氧化物所组成的组中的材料。
11.根据权利要求10所述的半导体器件,其中,所述第一层间介电层和所述第二层间介电层包括选自由SiO2、硼磷硅酸盐玻璃(BPSG)、四乙基原硅酸盐(TEOS)、旋涂玻璃(SOG)、未掺杂的硅酸盐玻璃(USG)、氟化硅酸盐玻璃(SFG)、高密度离子体(HDP)氧化物或离子体增强TEOS(PETEOS)所组成的组中的材料。
12.根据权利要求1所述的半导体器件,其中,所述第一接触件和所述第二接触件包括选自由铜、钨、铝、银、金或它们的组合所组成的组中的导电材料。
13.根据权利要求1所述的半导体器件,其中,所述接触蚀刻终止层包括选自由氮化硅、碳化硅、氧化硅或其他高应力材料所组成的组中的材料。
14.根据权利要求2所述的半导体器件,其中,所述栅极包括栅极绝缘层和栅电极。
15.根据权利要求14所述的半导体器件,其中,所述栅电极是金属栅电极,所述金属栅电极包括选自由铪、锆、钛、钽、铝、金属碳化物、金属硅化物、金属氮化物或它们的组合所组成的组中的材料。
16.一种制造半导体器件的方法,包括:
使用应变硅材料在衬底内形成晶体管的端子;
在所述衬底上方形成第一层间介电(ILD)层;
在所述第一层间介电层内形成第一接触件,其中所述第一接触件位于所述端子上方并且与所述端子连接;
形成延伸穿过所述第一层间介电层至所述衬底中的通孔,使得所述通孔的整个顶面与所述第一接触件的顶面齐平;
在所述第一层间介电层上方形成接触蚀刻终止层(CESL);
在所述接触蚀刻终止层上方形成第二层间介电层;以及
在所述第二层间介电层和所述接触蚀刻终止层内形成第二接触件且整个第二接触件形成在所述第二层间介电层和所述接触蚀刻终止层(CESL)内,其中所述第二接触件位于所述第一接触件和所述通孔上方并且为与所述第一接触件和所述通孔直接接触的连续件,并且所述第二接触件与所述第一接触件和所述通孔连接。
17.根据权利要求16所述的方法,还包括:
在所述第一层间介电层内形成所述晶体管的栅极;
在所述第二层间介电层和所述接触蚀刻终止层内形成第三接触件,其中所述第三接触件位于所述栅极上方并且与所述栅极连接;
在所述第三接触件上方形成与所述第三接触件连接的过孔,以及
在所述过孔上方形成与所述过孔连接的第一金属层的金属接触件。
18.根据权利要求17所述的方法,还包括:
在所述第二层间介电层上方形成蚀刻终止层(ESL);
在所述蚀刻终止层上方形成第一金属间介电(IMD)层,其中所述过孔穿过所述蚀刻终止层和所述第一金属间介电层与所述第三接触件接触。
19.根据权利要求16所述的方法,其中,所述晶体管是NMOS晶体管或PMOS晶体管,并且所述端子是所述晶体管的源极或漏极。
20.根据权利要求16所述的方法,其中,所述应变硅材料包括硅锗或硅锗碳。
21.一种半导体器件,包括:
晶体管的端子,由应变硅材料形成并且形成在衬底内;
第一接触件,位于所述端子上方并且与所述端子连接,所述第一接触件形成在所述衬底上方的第一层间介电(ILD)层内;
所述晶体管的栅极,形成在所述第一层间介电层内;
通孔,延伸穿过所述第一层间介电层至所述衬底中;
第二接触件,位于所述第一接触件和所述通孔的上方并且为与所述第一接触件和所述通孔直接接触的连续件,所述第二接触件与所述第一接触件和所述通孔连接,并且形成在第二层间介电层和接触蚀刻终止层(CESL)内,其中所述第二层间介电层位于所述接触蚀刻终止层上方,而所述接触蚀刻终止层位于所述第一层间介电层上方;
第三接触件,位于所述栅极上方并且与所述栅极连接,所述第三接触件形成在所述第二层间介电层和所述接触蚀刻终止层内;
过孔,位于所述第三接触件上方并且与所述第三接触件连接;以及
第一金属层的金属接触件,位于所述过孔上方并且与所述过孔连接。
CN201210587635.7A 2012-08-10 2012-12-28 连接通孔至器件 Active CN103579186B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/572,337 US8624324B1 (en) 2012-08-10 2012-08-10 Connecting through vias to devices
US13/572,337 2012-08-10

Publications (2)

Publication Number Publication Date
CN103579186A CN103579186A (zh) 2014-02-12
CN103579186B true CN103579186B (zh) 2017-08-15

Family

ID=49840860

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210587635.7A Active CN103579186B (zh) 2012-08-10 2012-12-28 连接通孔至器件

Country Status (3)

Country Link
US (3) US8624324B1 (zh)
CN (1) CN103579186B (zh)
TW (1) TWI546919B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US8624324B1 (en) 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US10355107B2 (en) * 2016-07-25 2019-07-16 Boe Technology Group Co., Ltd. Polycrystalline silicon thin film transistor and method of fabricating the same, and display apparatus
TWI575651B (zh) * 2016-08-25 2017-03-21 世界先進積體電路股份有限公司 半導體結構及其製造方法
CN107968118B (zh) * 2016-10-19 2020-10-09 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
DE102017118475B4 (de) * 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10020381B1 (en) * 2017-05-17 2018-07-10 International Business Machines Corporation Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
US11367683B2 (en) 2018-07-03 2022-06-21 Infineon Technologies Ag Silicon carbide device and method for forming a silicon carbide device
US11869840B2 (en) 2018-07-03 2024-01-09 Infineon Technologies Ag Silicon carbide device and method for forming a silicon carbide device
CN112349594B (zh) * 2019-08-09 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11652149B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
KR20220120777A (ko) 2021-02-23 2022-08-31 삼성전자주식회사 반도체 소자 및 적층형 반도체 칩
US11658116B2 (en) 2021-03-02 2023-05-23 International Business Machines Corporation Interconnects on multiple sides of a semiconductor structure

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1685520A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有镍锗硅化物栅极的mosfet及其形成方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100146A (en) 1996-10-30 2000-08-08 Advanced Micro Devices, Inc. Method of forming trench transistor with insulative spacers
CN1277296C (zh) * 2003-03-27 2006-09-27 台湾积体电路制造股份有限公司 具有应变硅锗层外延的场效应晶体管结构及其制造方法
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
JP2005223109A (ja) * 2004-02-05 2005-08-18 Renesas Technology Corp 半導体装置およびその製造方法
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7041576B2 (en) 2004-05-28 2006-05-09 Freescale Semiconductor, Inc. Separately strained N-channel and P-channel transistors
JP2006108365A (ja) * 2004-10-05 2006-04-20 Renesas Technology Corp 半導体装置およびその製造方法
US7495335B2 (en) 2005-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing process steps in metal line protective structure formation
CN1941296A (zh) * 2005-09-28 2007-04-04 中芯国际集成电路制造(上海)有限公司 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
CN101320711B (zh) * 2007-06-05 2010-11-17 联华电子股份有限公司 金属氧化物半导体晶体管及其制作方法
US7799678B2 (en) 2008-01-30 2010-09-21 Freescale Semiconductor, Inc. Method for forming a through silicon via layout
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
WO2010035379A1 (ja) 2008-09-26 2010-04-01 パナソニック株式会社 半導体装置及びその製造方法
US20100301398A1 (en) * 2009-05-29 2010-12-02 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8299583B2 (en) * 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US7960282B2 (en) 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US8264065B2 (en) * 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
JP2011228419A (ja) 2010-04-19 2011-11-10 Renesas Electronics Corp 半導体集積回路装置および半導体集積回路装置の製造方法
KR20120000748A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8659152B2 (en) 2010-09-15 2014-02-25 Osamu Fujita Semiconductor device
KR20120030782A (ko) 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US8466061B2 (en) 2010-09-23 2013-06-18 Infineon Technologies Ag Method for forming a through via in a semiconductor element and semiconductor element comprising the same
US9177893B2 (en) 2011-05-17 2015-11-03 Infineon Technologies Ag Semiconductor component with a front side and a back side metallization layer and manufacturing method thereof
CN102856246B (zh) * 2011-06-27 2014-10-29 中芯国际集成电路制造(北京)有限公司 制造半导体器件的方法和半导体器件
US20130119543A1 (en) 2011-11-16 2013-05-16 Globalfoundries Singapore Pte. Ltd. Through silicon via for stacked wafer connections
US8592279B2 (en) 2011-12-15 2013-11-26 Semicondcutor Components Industries, LLC Electronic device including a tapered trench and a conductive structure therein and a process of forming the same
US8803292B2 (en) * 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US8624324B1 (en) 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1685520A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有镍锗硅化物栅极的mosfet及其形成方法

Also Published As

Publication number Publication date
US8624324B1 (en) 2014-01-07
US20140117461A1 (en) 2014-05-01
US9355935B2 (en) 2016-05-31
US20150371928A1 (en) 2015-12-24
US9123702B2 (en) 2015-09-01
CN103579186A (zh) 2014-02-12
TW201407730A (zh) 2014-02-16
TWI546919B (zh) 2016-08-21

Similar Documents

Publication Publication Date Title
CN103579186B (zh) 连接通孔至器件
US10418277B2 (en) Air gap spacer formation for nano-scale semiconductor devices
US10269628B2 (en) FinFET low resistivity contact formation method
CN103094138B (zh) 具有增强的铜对铜接合的三维(3d)集成电路及其形成方法
CN101410967B (zh) 半导体结构及其制造方法
TWI508145B (zh) 製作替代金屬閘極及接觸金屬之結構及方法
CN104867967B (zh) 半导体器件及其制造方法
CN107546203A (zh) 半导体器件及其制造方法
CN108122827A (zh) 集成电路及其形成方法
CN109560038A (zh) 互连结构及方法
TW201123424A (en) Semiconductor device and method for manufacturing the same
CN104517942B (zh) 具有磁性材料的电感器结构及其形成方法
CN105047627B (zh) 半导体结构及其制造方法
TW201344918A (zh) 半導體裝置
CN104425451A (zh) 具有衬底通孔结构的器件及其形成方法
CN101814475A (zh) 用于衬底通孔的阻挡结构和方法
CN107017200A (zh) 具有金属塞的ic结构的制造
US11915972B2 (en) Methods of forming spacers for semiconductor devices including backside power rails
CN104851873B (zh) 势垒层结构及方法
CN110957257B (zh) 绝缘体上半导体衬底、其形成方法以及集成电路
CN106373921A (zh) 用于互连方案的方法
CN103681612B (zh) 晶种层结构和方法
TWI763346B (zh) 半導體裝置及半導體裝置的製造方法
TWI749986B (zh) 半導體元件及其形成方法
TWI700799B (zh) 導電結構、包含導電結構之佈局結構以及導電結構之製作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant