CN103094138B - 具有增强的铜对铜接合的三维(3d)集成电路及其形成方法 - Google Patents

具有增强的铜对铜接合的三维(3d)集成电路及其形成方法 Download PDF

Info

Publication number
CN103094138B
CN103094138B CN201210433490.5A CN201210433490A CN103094138B CN 103094138 B CN103094138 B CN 103094138B CN 201210433490 A CN201210433490 A CN 201210433490A CN 103094138 B CN103094138 B CN 103094138B
Authority
CN
China
Prior art keywords
device wafer
another
bond pad
adhesion layers
metal adhesion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210433490.5A
Other languages
English (en)
Other versions
CN103094138A (zh
Inventor
S·V·源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103094138A publication Critical patent/CN103094138A/zh
Application granted granted Critical
Publication of CN103094138B publication Critical patent/CN103094138B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1357Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13649Manganese [Mn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/2956Disposition
    • H01L2224/29563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/29564Only on the bonding interface of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/2957Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29575Plural coating layers
    • H01L2224/2958Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29649Manganese [Mn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/325Material
    • H01L2224/32501Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/8382Diffusion bonding
    • H01L2224/8383Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]

Abstract

本发明公开涉及具有增强的铜对铜接合的三维(3D)集成电路及其形成方法。至少在第一器件晶片的Cu表面上形成至少一个金属粘附层。具有另一Cu表面的第二器件晶片被放置在第一器件晶片的Cu表面顶上且在至少一个金属粘附层上面。第一器件晶片和第二器件晶片然后被接合在一起。接合包含在施加或不施加外部施加的压力的情况下在低于400°C的温度下加热器件晶片。在加热期间,两个Cu表面被接合在一起,并且至少一个金属粘附层从两个Cu表面得到氧原子,并且在Cu表面之间形成至少一个金属氧化物接合层。

Description

具有增强的铜对铜接合的三维(3D)集成电路及其形成方法
技术领域
本公开涉及半导体结构及其形成方法。尤其是,本公开涉及实现了改善的Cu-Cu接合的三维(3D)集成电路。
背景技术
为了应对当今的电子器件的规模要求,芯片设计人员和制造商不断尝试设计最佳地使可用的芯片空间最大化的电路设计。得到的设计常常扩展到几个不同平面。例如,一个这样的三维(3D)电路设计可包含按层叠配置取向的多个不同器件层。在另一例子中,3D电路设计可包含由多个器件层和通过层间垂直通路连接在一起的互连层构成的垂直叠层。
在典型的3D集成电路中,以背对背的方式层叠两个有源器件晶片。然后,通过使用出现Cu-Cu接合的热压缩,将两个有源器件晶片接合在一起。接合处理需要合理的高温和压力施加。在这种直接Cu-Cu接合中,在被接合在一起的Cu表面上形成CuO。在Cu表面上存在CuO增加了接合结构的电阻,并且降低了接合结构的可靠性,特别是粘附力(adhesion)。
因而,需要改善的用于将Cu表面接合在一起的方法,其中,避免在接合的Cu-Cu表面上形成CuO。
发明内容
至少在第一器件晶片的Cu表面(一般为Cu接合焊盘)上形成至少一个金属粘附层。具有另一Cu表面(一般为另一Cu接合焊盘)的第二器件晶片被放置在第一器件晶片的Cu表面顶上且在至少一个金属粘附层上。第一器件晶片与第二器件晶片然后被接合在一起。接合(bonding)包含在施加或不施加外部施加压力的情况下将器件晶片加热到低于400°C的温度。在加热期间,两个Cu表面被接合在一起,并且至少一个金属粘附层(metal adhesion layer)从两个Cu表面得到氧原子,并且在Cu表面之间形成至少一个金属氧化物接合层。
在本公开的一个方面中,提供一种形成三维(3D)集成电路的方法。本公开的方法包括提供至少包含Cu表面的第一器件晶片。然后,在Cu表面上形成金属粘附层。在金属粘附层上且在第一器件晶片的Cu表面顶上放置具有另一Cu表面的第二器件晶片。第一器件晶片和第二器件晶片在低于400°C的温度下被接合在一起。在接合期间,金属粘附层从两个Cu表面得到氧原子,并且在Cu表面与另一Cu表面之间形成金属氧化物接合层。
本公开的另一方面涉及一种三维(3D)集成电路。3D集成电路包括具有Cu表面的第一器件晶片和具有另一Cu表面的第二器件晶片的垂直叠层(vertical stack),其中,金属氧化物接合层位于第一器件晶片的Cu表面与第二器件晶片的另一Cu表面之间。
附图说明
图1是以框图的形式示出可在形成3D集成电路时使用的本公开的各种处理步骤的示意图。
图2是示出可在本公开的一个实施例中使用的包含经构图的Cu接合焊盘的第一器件晶片的图示(通过横截面图)。
图3是示出至少在第一器件晶片的Cu接合焊盘上形成金属粘附层之后的图2的第一器件晶片的图示(通过横截面图)。
图4是示出包含被放置在图3所示的第一器件晶片顶上的至少一个其它Cu接合焊盘的第二器件晶片的图示(通过横截面图)。
图5是示出在低于400°C的温度下进行接合之后的图4的两个器件晶片的图示(通过横截面图)。
图6是示出从第二器件晶片去除把手基板(handle substrate)之后的图5的两个器件晶片的图示(通过横截面图)。
图7是示出可在本公开的一个实施例中使用的包含未经构图的Cu接合焊盘的第一器件晶片的图示(通过横截面图)。
图8是示出在第一器件晶片的未经构图的Cu接合焊盘上形成金属粘附层之后的图7的第一器件晶片的图示(通过横截面图)。
图9是示出包含被放置在图8所示的第一器件晶片顶上的至少一个其它Cu接合焊盘的第二器件晶片的图示(通过横截面图)。
图10是示出在低于400°C的温度下进行接合之后的图9的两个器件晶片的图示(通过横截面图)。
图11是示出从第二器件晶片去除把手基板之后的图10的两个器件晶片的图示(通过横截面图)。
图12是示出可在本公开的另一实施例中使用的均包含经构图的Cu接合焊盘的两个器件晶片的图示(通过横截面图)。
图13是示出在器件晶片中的至少一个的经构图的Cu接合焊盘上形成至少一个金属粘附层之后的图12的两个器件晶片的图示(通过横截面图)。
图14是示出将器件晶片中的一个旋转180°并使器件晶片中的一个的经构图的Cu接合焊盘与另一器件晶片的经构图的Cu接合焊盘对准之后的图13的两个器件晶片的图示(通过横截面图)。
图15是示出在低于400°C的温度下进行接合之后的图14的两个器件晶片的图示(通过横截面图)。
图16是示出除了在形成金属粘附层时使用选择性沉积处理以外可通过使用图2~6所示的基本处理步骤形成的3D集成电路的图示(通过横截面图)。
图17是示出除了在形成金属粘附层时使用选择性沉积处理以外可通过使用图12~15所示的基本处理步骤形成的3D集成电路的图示(通过横截面图)。
具体实施方式
现在,通过参照伴随本申请的以下的讨论和附图,更详细地描述涉及具有改善的Cu-Cu接合(Cu-to-Cu bonding)的三维(3D)集成电路及其形成方法的本公开。注意,提供本申请的附图是出于解释性的目的,因而,它们的绘制没有按比例。
在以下描述中,为了使得能够彻底地理解本发明,阐述大量的特定细节,诸如特定的结构、部件、材料、尺度、处理步骤和技术。但是,本领域技术人员可以理解,可通过没有这些特定细节的可行的替代性的处理选项实施本公开。在其它实例中,为了避免混淆本公开的各种实施例,不详细描述公知的结构或处理步骤。
可以理解,当作为层、区域或基板的要素被称为处于另一要素“上”或“之上”时,它可直接处于其它要素上,或者,也可存在介入的要素。相反,当要素被称为“直接”处于另一要素“上”或“之上”时,则不存在介入的要素。还应理解,当要素被称为处于另一要素“下”或“之下”时,它可直接处于其它要素下或者之下,或者,可存在介入的要素。相反,当要素被称为“直接”处于另一要素“下”或“之下”时,则不存在介入的要素。
如上所述,本公开提供形成三维(3D)集成电路的方法。在图1所示的框图中示意性地表示出本公开的方法。本公开的方法包括提供包含Cu表面的第一器件晶片(步骤100)。然后,至少在第一器件晶片的Cu表面上形成金属粘附层(步骤102)。在金属粘附层上且在第一器件晶片的Cu表面顶上放置具有另一Cu表面的第二器件晶片(步骤104)。第一器件晶片和第二器件晶片在低于400°C的温度下接合在一起(步骤106)。在接合期间,金属粘附层从两个Cu表面得到氧原子,并且在第一器件晶片的Cu表面与第二器件晶片的另一Cu表面之间形成金属氧化物接合层。
本公开的方法提供了包括第一器件晶片和第二器件晶片的垂直叠层的三维(3D)集成电路,第一器件晶片包含Cu表面而第二器件晶片包含另一Cu表面,其中金属氧化物接合层位于第一器件晶片的Cu表面与第二器件晶片的另一Cu表面之间。金属氧化物接合层在不增加Cu-Cu接合表面上的电阻的情况下在不同的器件晶片之间提供改善的Cu-Cu接合。
在本公开中使用的术语“器件晶片(device wafer)”表示包含以下的半导体结构:半导体基板、至少部分地位于半导体基板上的至少一个器件、包含具有嵌入其中的至少一个导电特征件(通路和/或线)的至少一个互连电介质材料的互连级和位于互连级的表面上并与位于至少一个互连电介质材料内的导电特征件中的至少一个接触的Cu接合焊盘(bond pad)。在一些实施例中,Cu接合焊盘可被构图,并由此仅位于互连级的一些部分上。在其它实施例中,Cu接合焊盘可不被构图,并由此位于整个互连级顶上。
至少一个器件可以是场效应晶体管、电阻器、电容器、BiCMOS、二极管、导体、微机电(MEM)器件、热冷却器件或它们的任意组合。器件可具有相同或不同的极性,并且器件可具有相同或不同的功能。例如,器件可被用作存储器、微处理器、微控制器、传感器、热冷却器件或这些功能的任意组合。
首先参照示出本公开的一个实施例的图3~6,该实施例用于通过使用本公开的方法制备3D集成电路。注意,虽然以下的讨论和附图描述和示出了将两个器件晶片垂直层叠和接合在一起,但是,本公开不限于将仅仅两个器件晶片垂直层叠和接合在一起。事实上,本公开可被用于通过使用本公开的方法将n个器件晶片垂直层叠和接合在一起的实施例中,其中,n是大于2的整数。
首先参照图2,示出了包含位于互连级26顶上的经构图的Cu接合焊盘30的第一器件晶片10。第一器件晶片10还包含至少一个第一器件18,第一器件18至少部分地位于具有位于其中的至少一个隔离区域14的半导体基板12的表面上。在附图和以下讨论中,至少一个第一器件18是场效应晶体管,其包含至少栅极电介质20和重叠的栅极导体22的栅极叠层。至少一个间隔件24可位于栅极叠层(20和22)的侧壁上。源极/漏极区域16L和16R被示出在栅极叠层(20和22)的足迹处,并且位于半导体基板12的上部内。
互连级26包含具有位于其中的多个导电特征件28L、28R的至少一个互连电介质材料27。如所示的那样,多个导电特征件中的导电特征件28L中的一个与场效应晶体管的源极/漏极区域16L接触,而多个导电特征件中的导电特征件28R中的另一个与场效应晶体管的源极/漏极区域16R接触。如所示的那样,导电特征件268具有与在互连级26顶上形成的经构图的Cu接合焊盘30中的一个的表面接触的导电区域,即通路29。
可通过利用本领域技术人员公知的技术形成图2所示的第一器件晶片10。并且,第一器件晶片10的各种部件可由本领域技术人员也公知的材料构成。
以下,关于可如何制作第一器件晶片10以及可存在于第一器件晶片10内的部件中的每一个的一些材料提供一些细节。虽然提供这些细节,但是在形成图2所示的第一器件晶片10时,可以使用本领域技术人员公知的其它方法和/或材料。
第一器件晶片10的半导体基板12可包含任何半导体材料,该半导体材料包含但不限于Si、Ge、SiGe、SiC、SiGeC、GaAs、GaN、InAs、InP和所有其它III/V或II/VI化合物半导体。半导体基板12也可包含有机半导体或诸如Si/SiGe、绝缘体上硅(SOI)、绝缘体上的SiGe(SGOI)或绝缘体上锗(GOI)的分层半导体。绝缘体上半导体基板从上到下包含顶部半导体器件层、绝缘体层和底部半导体部分。在本公开的一些实施例中,半导体基板12由含Si半导体材料(即包含硅的半导体材料)构成。半导体基板12可被掺杂、不被掺杂或者在其中包含掺杂或未掺杂的区域。半导体基板12可包含单晶取向,或者它可包含不同的晶体取向。出于解释的目的,第一器件晶片的半导体基板12包含块体半导体(bulk semiconductor)。“块体(bulk)”意味着整个基板由半导体材料构成。
半导体基板12还可包含第一掺杂(n-或p-)区域和第二掺杂(n-或p-)区域。为了清楚,在本申请的附图中的任一个中没有特别示出掺杂区域。第一掺杂区域和第二掺杂区域可以相同,或者它们可具有不同的导电性和/或掺杂浓度。这些掺杂区域被称为“阱”,并且通过利用常规的离子注入过程来形成它们。
至少一个隔离区域14可形成于半导体基板12中。至少一个隔离区域14可以是沟槽隔离区域或场氧化物隔离区域。通过使用本领域技术人员公知的沟槽隔离处理来形成沟槽隔离区域。例如,可在形成沟槽隔离区域时对沟槽的光刻(lithography)、蚀刻和用沟槽电介质对沟槽的填充。任选地,可在沟槽填充之前在沟槽中形成衬里(liner),可在沟槽填充之后执行致密化步骤,并且平坦化处理也可跟随在沟槽填充之后。可通过使用所谓的局部硅氧化处理来形成场氧化物。本领域技术人员了解,至少一个隔离区域14在相邻的器件之间提供隔离,当相邻的器件具有相反的导电性(即nFET和pFET)时一般需要这种隔离。这里,半导体基板12的位于相邻的隔离区域之间的部分被称为半导体基板12的“有源区域”。半导体基板12的有源区域是器件至少部分地形成在其上的区域。
在限定要形成器件的有源区域之后,至少部分地在半导体基板12的有源区域上形成器件。在附图中,如前面提到的那样,在本示出实施例中形成的至少一个第一器件18是场效应晶体管。场效应晶体管包含至少栅极电介质20和栅极导体22的栅极叠层。
栅极电介质20包含任意绝缘材料。在一个实施例中,栅极电介质20包含半导体氧化物、半导体氮化物和/或半导体氧氮化物。在另一实施例中,栅极电介质20包含介电常数比氧化硅的介电常数(例如,3.9)大的电介质金属氧化物。这里,这种具有比氧化硅大的介电常数的电介质金属氧化物被称为高k电介质材料。一般地,可在本公开中使用的高k栅极电介质具有比4.0大的介电常数,大于8.0的介电常数是更典型的。可用作栅极电介质20的示例性的高k电介质材料包含但不限于HfO2、ZrO2、La2O3、Al2O3、TiO2、SrTiO3、LaAlO3、Y2O3、HfOxNy、ZrOxNy、La2OxNy、Al2OxNy、TiOxNy、SrTiOxNy、LaAlOxNy、Y2OxNy、它们的硅酸盐(silicate)和它们的合金。x的每个值独立地为0.5~3,并且y的每个值独立地为0~2。在一些实施例中,可以使用上述电介质材料中的至少两种的多层叠层。例如,在一个实施例中,栅极电介质20可包含半导体氧化物,即氧化硅,的下层和诸如例如HfO2的高k电介质材料的上层。
栅极电介质20的厚度可根据其形成技术而改变。但是,一般地,栅极电介质20具有0.5nm~10nm的厚度,1.0nm~5nm的厚度是更典型的。在本公开的一些实施例中,使用的栅极电介质20可具有大约1nm或更小的有效氧化物厚度。
可通过包括例如化学气相沉积(CVD)、物理气相沉积(PVD)、分子束沉积(MBD)、脉冲激光沉积(PLD)、液体源模糊化学沉积(LSMCD)、原子层沉积(ALD)和其它类似的沉积处理的在本领域中公知的方法形成栅极电介质20。在一些实施例中,特别是当使用半导体氧化物、氮化物和/或氧氮化物作为栅极电介质20时,可通过诸如例如热氧化和/或热氮化的热工艺形成栅极电介质20。在这种实例中,半导体氧化物、氮化物和/或氧氮化物的半导体部分包含与底层的半导体基板12相同的半导体材料。
在图2中示出的至少一个第一器件18(即场效应晶体管)的栅极叠层的栅极导体22包含任意的导电材料,这些导电材料包含但不限于多晶硅、多晶硅锗、元素金属(例如,钨、钛、钽、铝、镍、钌、钯和铂)、至少两种元素金属的合金、元素金属氮化物(例如,氮化钨、氮化铝和氮化钛)、元素金属硅化物(例如,硅化钨、硅化镍和硅化钛)和它们的多层。在一个实施例中,栅极导体22包含nFET金属。在另一实施例中,栅极导体22包含pFET金属。在又一实施例中,栅极导体22包含具有或不具有底层的导电金属部分的多晶硅。
可利用包括例如化学气相沉积(CVD)、等离子增强化学气相沉积(PECVD)、蒸镀、物理气相沉积(PVD)、溅射、化学溶液沉积、原子层沉积(ALD)和其它类似沉积处理的常规沉积处理来形成栅极导体22。当使用含硅材料作为栅极导体22时,可通过利用原位掺杂沉积处理或通过利用沉积以及随后的诸如将适当的杂质引入含Si材料中的离子注入或气相掺杂的步骤,在适当的杂质内掺杂含Si材料。当形成金属硅化物时,可以使用常规的硅化处理。
在一些实施例中,栅极电介质20和栅极导体22可形成为覆盖层,然后在形成包含它们的栅极叠层时使用构图处理。构图处理包含光刻(在栅极导体22的上表面顶上施加光刻胶,将光刻胶暴露于放射线并且通过使用常规显影剂显影露出的抗蚀剂)。光刻步骤在栅极导体22的覆盖层顶上提供经构图的光刻胶。然后使用蚀刻步骤以将图案从经构图的光刻胶转印到栅极电介质和栅极导体材料的底层覆盖层中。蚀刻可包含诸如例如反应离子蚀刻、等离子蚀刻、离子蚀刻或激光烧蚀的干蚀刻处理。蚀刻还可包含湿化学蚀刻处理,在该处理中使用一种或更多种化学蚀刻剂以去除栅极电介质和栅极导体材料的覆盖层的未被经构图的光刻胶保护的部分。可通过利用灰化处理去除经构图的光刻胶。
在其它实施例中,通过使用替代栅极处理形成包含栅极电介质20和栅极导体22的栅极叠层。在这种处理中,至少包含牺牲栅极材料的叠层形成于存在于平坦化的电介质材料中的开口中。至少牺牲栅极材料被去除,然后被上述的栅极导电材料中的一种替代。在一些实施例中,替代栅极处理也可包含牺牲栅极电介质材料。在这种实施例中,牺牲栅极材料和牺牲栅极电介质均被去除,并且被上述的栅极导体材料中的一种和上述的栅极电介质材料中的一种替代。
示为至少一个第一器件18的场效应晶体管还可包含至少一个间隔件(spacer)24。在一些实施例中,可从至少包含栅极电介质20和栅极导体22的栅极叠层的侧壁省略至少一个间隔件24。当存在时,至少一个间隔件24包含诸如例如氧化硅、氮化硅和/或氧氮化硅的氧不可渗透的电介质材料。可通过氧不可渗透的电介质材料的沉积以及随后通过蚀刻,形成至少一个间隔件24。在一些实施例中,可在形成至少一个间隔件24时使用热处理。
图2所示的场效应晶体管(即,至少一个第一器件18)还包含源极/漏极区域16L和16R。可通过利用离子注入和退火在形成包含栅极电介质20和栅极导体22的栅极叠层之前或之后形成源极/漏极区域16L和16R。用于离子注入处理的条件和掺杂剂对于本领域技术人员来说是公知的。激活被离子注入到半导体基板12中的掺杂剂的退火包含在高于800°C或更高的温度下加热。半导体基板12的位于栅极叠层(20和22)下面并沿横向由源极/漏极区域16L、16R划界的部分是晶体管的沟道区域。
在形成至少一个第一器件18之后,形成互连级(interconnect level)26。互连级26可代表单个互连级,或者它可代表多个垂直层叠且电连接的互连级。互连级26包含具有位于其中的多个导电特征件28L和28R的至少一个互连电介质材料27。多个导电特征件(conductivefeature)中的导电特征件28L中的一个与场效应晶体管的源极/漏极区域16L接触,而多个导电特征件中的导电特征件28L中的另一个与场效应晶体管的源极/漏极区域16R接触。导电特征件28R具有与在互连级26顶上形成的经构图的Cu接合焊盘30中的一个的表面接触的导电区域,即通路29。
通过使用包含例如镶嵌(damascene)处理的在本领域中公知的技术形成互连级26。在镶嵌处理中,首先形成至少一个互连电介质材料27,然后通过使用光刻和蚀刻将多个开口形成于至少一个互连电介质材料27中。多个开口可以是通路开口、线开口或通路开口和线开口的组合。当通过通路开口和线开口的组合限定开口时,可以使用光刻和蚀刻的第二迭代。在开口的这种组合中,通路和线相互连通。
然后用导电材料填充开口以形成导电特征件28L和28R。
在一些实施例中,可与导电特征件28L和28R同时形成导电区域29。在其它实施例中,可在导电特征件28L和28R之后形成导电区域29。在这种实施例中,导电特征件28L和28R每一个都具有基本上与第一互连电介质材料的上表面共面的最高表面。然后形成第二互连电介质材料(与第一互连电介质材料相同或不同),并且通过使用与上述的用于形成导电特征件28L和28R的技术相同的技术形成导电区域29。在该特定实施例中,第一和第二互连电介质材料形成可在本公开的一些实施例中使用的多级(multilevel)互连结构。
互连级26的至少一个互连电介质材料27可包含任何级间或级内电介质,其包含无机电介质或有机电介质。至少一个互连电介质材料27可以是多孔的或非多孔的。多孔电介质材料一般具有比非多孔相对物低的介电常数。可被用作至少一个互连电介质材料27的适当电介质的一些例子包含但不限于SiO2、乙基硅倍半环丙烷(silsesquixoanes)、包含Si、C、O和H原子的C掺杂氧化物(即,有机硅酸盐)、热硬化聚亚芳醚(polyarylene ether)或它们的多层。在本申请中使用术语“聚亚芳基(polyarylene)”以表示芳基部分或惰性替换的芳基部分,这些部分是通过接合、熔环或诸如例如氧、硫、砜、亚砜和碳酰基等的惰性连接基(inert linking group)连接在一起的。在一个实施例中,至少一个互连电介质材料27具有约4.0或更小的介电常数。在另一实施例中,至少一个互连电介质材料27具有约2.8或更小的介电常数。除非另外指出,否则,在本公开中提到的所有介电常数相对于真空的。至少一个互连电介质材料27的厚度可根据使用的电介质材料以及互连级26内的电介质的确切数量而改变。一般地,对于正常的互连结构,至少一个互连电介质材料27具有200nm~450nm的厚度。
导电特征件28L和28R以及导电区域29包含包含例如多晶硅、导电金属、包含至少两种导电金属的合金、导电金属硅化物或它们的组合的导电材料。在一个实施例中,在形成导电特征件28L和28R和导电区域29时使用的导电材料是诸如Cu、W或Al的导电金属。导电特征件28L和28R可包含与导电区域29相同或不同的导电材料。
通过利用包含但不限于CVD、PECVD、溅射、化学溶液沉积或电镀的常规沉积处理,形成在形成导电特征件28L和28R和导电区域29时使用的导电材料。在沉积之后,可以使用诸如例如化学机械抛光(CMP)的常规平坦化处理以提供平坦的互连结构。
首先,通过用以上提到的用于形成导电特征件28L和28R和导电区域29的导电材料的技术中的一种,在互连级26顶上形成Cu或Cu合金的覆盖层,在互连级26顶上形成经构图的Cu接合焊盘30。然后,在形成经构图的Cu接合焊盘30时使用光刻和蚀刻。如所示的那样,经构图的Cu接合焊盘30中的一个与导电区域29的上表面接触。虽然在本实施例中示出和描述了经构图的Cu接合焊盘30,但是,将描述使用未经构图的Cu接合焊盘的实施例。
现在参照图3,该图示出了至少在第一器件晶片10的Cu接合焊盘30上形成金属粘附层32之后的图2的第一器件晶片10。在本实施例中,通过利用诸如例如蒸镀、物理气相沉积、氩溅射、化学气相沉积、等离子增强化学气相沉积或原子层沉积的非选择性沉积处理,形成金属粘附层32。“非选择性”意味着在全部暴露表面上形成金属粘附层32的沉积处理。
金属粘附层32由与Cu或Cu合金相比对于氧具有更高的亲和力的金属或金属合金构成。换句话说,金属粘附层32由与Cu或Cu合金相比更易于起氧反应的金属或金属合金构成。特别地,金属粘附层32包含Mn、Ti、Co、Ta、W、Ru和Ni中的一种。在一个实施例中,金属粘附层32包含Mn或与Ti、Co、Ta、W、Ni和Ru中的至少一种合金化的Mn。在另一实施例中,金属粘附层32包含金属合金,其包含选自Mn、Ti、Co、Ta、W、Ni和Ru中的两种或更多种金属。
金属粘附层32的厚度可根据使用的金属或金属合金及其形成过程中所使用的处理条件而改变。在一个实施例中,金属粘附层32具有0.2nm~20nm的厚度。在另一实施例中,金属粘附层32具有0.5nm~50nm的厚度。在一些实施例中,金属粘附层32是单层材料。在另一实施例中,金属粘附层32包含多层。当使用多层时,多层结构内的每一金属粘附材料可以相同或不同。
现在参照图4,该图示出包含位于图3所示的第一器件晶片10顶上的经构图的Cu接合焊盘72的第二器件晶片50。第二器件晶片50包含半导体基板52,其包含半导体器件层52B和绝缘体层52A。半导体器件层52B包含以上针对半导体基板12提到的半导体材料中的一种。半导体器件层52B的厚度一般处于5nm~5000nm的范围内。在本公开中也可使用高于或低于上述的厚度范围的其它厚度。
绝缘体层52A包含半导体氧化物、半导体氮化物和/或半导体氧氮化物。绝缘体层52A的厚度一般处于5nm~500nm的范围内。在本公开中也可使用高于或低于上述的厚度范围的其它厚度。
在一个实施例中,绝缘体层52A和半导体器件层52B是绝缘体上半导体基板的部件,其中,在图4所示的第二器件晶片50的制造中去除基板的底部半导体部分。在这种实施例中,可通过蚀刻或通过利用诸如例如CMP和/或研磨的平坦化处理去除基板的底部半导体部分。一般在第二器件晶片50顶上形成把手基板(handle substrate)(或把手晶片(handle wafer))之后进行绝缘体上半导体基板的底部半导体部分的去除。
在一些实施例中,在块体半导体基板被处理以包含至少一个器件、一个互连级或把手基板之后,在块体半导体基板(代表半导体器件层54)的底表面上形成半导体层52B。
半导体器件层52B包含在其中形成的至少一个隔离区域54。至少一个隔离区域54可被形成,并且包含以上针对至少一个隔离区域14描述的材料。
第二器件晶片50还包含至少部分地在半导体器件层52A顶上形成的至少一个第二器件58。在本实施例中,至少一个第二器件58是包含至少栅极电介质60和栅极导体62的栅极叠层的场效应晶体管。栅极电介质60和栅极导体62可被形成,并且包含以上针对栅极电介质20和栅极导体22描述的材料和厚度。
场效应晶体管(即,至少一个第二器件58)还包含位于半导体器件层52B内且在包含栅极电介质60和栅极导体62的栅极叠层的足迹上的源极/漏极区域56L和56R。可如以上针对形成源极/漏极区域16L和16R描述的那样形成源极/漏极区域56L和56R。半导体器件层52A的位于栅极叠层(60和62)下面并且沿横向由源极/漏极区域56L、56R划界的区域是至少一个第二器件58的沟道区域。
可在栅极叠层(即,60和62)的侧壁上存在至少一个间隔件63。至少一个间隔件63可包含以上针对至少一个间隔件24提到的材料中的一种。可通过使用与以上在形成至少一个间隔件24时提到的技术相同的技术形成至少一个间隔件63。
第二器件晶片50还包含互连级64,其包含位于至少一个互连电介质材料65内的多个导电特征件66L和66R。互连电介质材料65可被形成,并且包含以上针对互连电介质材料27提到的材料和厚度。导电特征件66L和66R可被形成,并且包含以上针对导电特征件28L和28R提到的材料。
在形成至少一个第二器件58和互连级64之后,在互连级64的最高表面上形成把手基板68。把手基板68可包含玻璃、Al2O3、SiN或诸如例如碳、旋涂有机/无机聚合物或柔性带的其它容易去除的绝缘材料。可通过利用包含层转印处理的本领域技术人员公知的常规技术形成把手基板68。
然后,如果存在的话,可如上面描述的那样去除绝缘体上半导体的底部部分,并且,如果不存在的话,可通过利用热生长或诸如例如CVD、PECVD或化学溶液沉积的沉积处理来形成绝缘体层52A。
然后,可通过半导体基板52并通过互连电介质材料65的至少一部分形成通路70。通路70的一个端部接触导电特征件中的至少一个,即66R,的水平表面,而另一端部位于基板52的最底表面上。
通路70包含以上针对导电特征件28L和28R提到的导电材料中的一种,并且通过利用光刻和蚀刻以及随后的在通过光刻和蚀刻处理产生的通路开口内形成导电材料来形成通路70。通路70的导电材料可包含以上针对导电特征件28L和28R提到的导电材料中的一种。可通过使用以上针对形成导电特征件28L和28R提到的技术中的一种形成通路70的导电材料。可以使用诸如CMP的平坦化处理以提供平坦的结构。
在形成通路70之后,在绝缘体层52A的表面上形成Cu接合焊盘72。如所示的那样,Cu接合焊盘72中的一个与通路70的端部接触。Cu接合焊盘72在本公开的本实施例中被构图。在一些实施例中,可以使用未经构图的Cu接合焊盘(未示出)。并且,在本公开的另一实施例中,可至少在Cu接合焊盘72的表面上形成另一金属粘附层。本实施例在本公开的附图中没有被示出。当至少在Cu接合焊盘72上形成另一金属粘附层时,另一金属粘附层可被形成,并且包含以上针对金属粘附层32提到的材料。另一金属粘附层可以是与金属粘附层32相同或不同的——一般是相同的——金属或金属合金。
参照图5,该图示出在使两个器件晶片10和50相互紧密接触、使两个晶片对准以使得每个Cu接合焊盘72的Cu表面位于每个Cu接合焊盘30的Cu表面顶上并且与其基本上垂直对准,并在低于400°C的温度下接合之后的图4的两个器件晶片10和50。在另一实施例中,在50°C~375°C的温度下进行接合。
在一些实施例中,可在接合处理期间向两个器件晶片施加外力,诸如由手或钳或其它类似的机构手段供给的力。在另一实施例中,在接合处理期间不向两个器件晶片施加外力。
可在对于两个器件晶片被接合在一起惰性的环境中执行接合。在一个实施例中,在包含例如He、Ar、Ne、Xe和其混合物的惰性气体中执行接合。在另一实施例中,氮气可被用作接合处理期间的环境。可以单独地使用氮气,或者使其与以上提到的惰性气体中的一种混合。在另一实施例中,可以使用形成气体(即,氢气(摩尔分数改变)和氮气的混合物)作为接合期间的环境。
在接合中,金属粘附层32的位于Cu接合焊盘上的部分从两个Cu表面得到氧原子,并且在Cu接合焊盘30的Cu表面与Cu接合焊盘72的另一Cu表面之间形成金属氧化物接合层74。因而,与在接合之前出现Cu接合焊盘相比,接合之后的Cu接合焊盘30和Cu接合焊盘72在其中具有更少的氧。根据使用的金属粘附层的类型和接合处理条件,在本公开中Cu接合焊盘30和Cu接合焊盘72中的每一个中的氧可减少50~99%。
金属氧化物接合层74由此包含金属或金属合金和氧。金属氧化物接合层74被示为位于Cu接合焊盘30的Cu表面与Cu接合焊盘72的Cu表面之间,并且与Cu接合焊盘30和Cu接合焊盘72的边缘垂直对准。金属氧化物接合层74具有一般处于0.3nm~100nm的范围内的厚度,根据初始金属粘附层厚度和初始加入Cu接合焊盘中的氧的数量,1nm~10nm的厚度是更典型的。在本公开中也可使用大于或小于上述的厚度范围的其它厚度。在一些实施例中,金属氧化物接合层74是单层结构。在其它实施例中,金属氧化物接合层74是多层结构。当金属氧化物接合层74是多层结构时,多层结构中的每一层可具有相同或不同的成分。
现在参照图6,该图示出从第二器件晶片50去除把手基板68之后的图5的接合后的两个器件晶片。在一个实施例中,可通过利用选择性蚀刻处理去除把手基板68,上述蚀刻处理诸如,例如,如果把手基板是基于碳氢化合物的,那么利用O2等离子,或者,如果把手基板是SiN,那么利用热磷酸。在另一实施例中,可以使用化学机械平坦化和/或研磨以从接合后的结构去除把手基板68。
可在图6所示的结构顶上层叠其它的器件晶片,并且可通过利用与上述相同的基本处理步骤实现其它器件晶片与接合后的结构之间的接合。因而,可形成包含相互垂直层叠的多个器件晶片的3D集成电路。
现在参照示出本公开的另一实施例的图7~11。除了使用未经构图的Cu接合焊盘以外,在图7~11中示出的本实施例与以上在图2~6中示出的实施例类似。
首先参照示出可在本公开的一个实施例中使用的包含未经构图的Cu接合焊盘80的第一器件晶片10的图7。特别地,除了图1的经构图的Cu接合焊盘30被未经构图的Cu接合焊盘80替代以外,第一器件晶片10包含与图2所示的第一器件晶片10相同的部件。通过利用以上针对形成经构图的Cu接合焊盘30提到的沉积处理,形成未经构图的Cu接合焊盘80。在沉积Cu接合焊盘的覆盖层之后,不进行覆盖Cu接合焊盘的构图。未经构图的Cu接合焊盘80的材料和厚度与以上针对经构图的Cu接合焊盘30提到的那些相同。
现在参照图8,其中示出在第一器件晶片的未经构图的Cu接合焊盘80上形成金属粘附层82之后的图7的第一器件晶片。金属粘附层82可被形成,并且包含以上针对金属粘附层32描述的材料和厚度。
参照图9,其中示出包含放置在图8所示的第一器件晶片10顶上的另一未经构图的Cu接合焊盘84的第二器件晶片50。除了经构图的Cu接合焊盘72被未经构图的Cu接合焊盘84替代以外,第二器件晶片50包含与以上提到的第二器件晶片50相同的元件。通过利用以上针对形成经构图的Cu接合焊盘30提到的沉积处理,形成未经构图的Cu接合焊盘84。在沉积Cu接合焊盘的覆盖层之后,不进行覆盖Cu接合焊盘的构图。未经构图的Cu接合焊盘84的材料和厚度与以上针对经构图的Cu接合焊盘30提到的那些相同。
现在参照图10,其中示出在低于400°C的温度下接合之后的图9的两个器件晶片。这里,对于形成图9所示的接合结构,也可使用结合形成图5所示的接合结构描述的接合处理的其它细节和实施例。与前面的实施例不同,金属氧化物接合层74邻接地存在于未经构图的Cu接合焊盘80与未经构图的Cu接合焊盘84之间。与前面的实施例类似,与接合之前的未经构图的Cu接合焊盘80与未经构图的Cu接合焊盘84相比,接合之后的未经构图的Cu接合焊盘80和未经构图的Cu接合焊盘84在其中具有更少的氧。
现在参照图11,其中示出从第二器件晶片50去除把手基板68之后的图10的两个接合的器件晶片。用于去除把手基板68的细节与在本公开的先前实施例中提到的细节相同。
可在图11所示的接合结构顶上层叠其它器件晶片,并且可通过利用与上述相同的基本处理步骤实现接合结构。因而,可形成包含相互垂直层叠的多个器件晶片的3D集成电路。
现在参照示出本公开的另一实施例的图12~15。本公开的本实施例从首先提供第一器件晶片10和第二器件晶片10′开始。第一器件晶片10的部件与存在于图2所示的第一器件晶片10中的部件类似。第二器件晶片10′的部件与以上针对图2所示的第一器件晶片10提到的部件相同。使用符号′以区分第二器件晶片10′的与第一器件晶片10的部件等同的部件。
现在参照图13,其中示出在器件晶片中的至少一个的经构图的Cu接合焊盘上形成至少一个金属粘附层32之后的图12的两个器件晶片10和10′。在一些实施例中,可在两个器件晶片上形成另一金属粘附层32。至少一个金属粘附层32与以上结合图3所示的结构提到的相同。
现在参照图14,其中示出在翻转(flip)器件晶片中的一个(即,器件晶片10′)并使第一器件晶片10的经构图的Cu接合焊盘30与第二器件晶片10′的经构图的Cu接合焊盘30′对准之后的图13的两个器件晶片10和10′。通过将器件晶片中的一个,即第二器件晶片10′,旋转180°,实现翻转。
参照示出在低于400°C的温度下接合之后的图14的两个器件晶片10和10′的图15。这里,对于形成图9所示的接合结构,也可使用结合形成图5所示的接合结构描述的接合处理的其它细节和实施例。
可在图14所示的接合结构顶上层叠其它器件晶片,并且可通过利用与上述的基本处理步骤相同的基本处理步骤,实现其它器件晶片与接合结构之间的接合。因而,可形成包含相互垂直层叠的多个器件晶片的3D集成电路。
现在参照图16,其中示出除了在形成金属粘附层时使用选择性沉积处理以外可通过使用图2~6所示的基本处理步骤形成的3D集成电路。因而,没有金属粘附层接触第一器件晶片和第二器件晶片任一个的互连电介质材料。可通过化学气相沉积或原子层沉积在Cu/电介质图案的未处理或改性的表面上实现选择性沉积。典型的例子是通过化学气相沉积处理的Mn或Co的选择性沉积。
现在参照图17,其中示出除了在形成金属粘附层时使用选择性沉积处理以外可通过使用图12~15所示的基本处理步骤处理的3D集成电路。因而,没有金属粘附层接触第一器件晶片和第二器件晶片任一个的互连电介质材料。可通过化学气相沉积或原子层沉积在Cu/电介质图案的未处理或改性的表面上实现选择性沉积。典型的例子是通过化学气相沉积处理的Mn或Co的选择性沉积。
在本公开的一些实施例中,以上的处理步骤可被用于接合来自一个器件晶片的未经构图的Cu接合焊盘与来自另一器件晶片的至少一个经构图的Cu接合焊盘。
虽然关于本公开的优选实施例特别表示和描述了本公开,但是本领域技术人员可以理解,在不背离本公开的精神和范围的情况下,可提出形式和细节的以上和其它的变化。因此,本公开不应限于描述和示出的确切的形式和细节,而落入所附的权利要求的范围内。

Claims (25)

1.一种形成三维(3D)集成电路的方法,所述方法包括:
提供包括Cu表面的第一器件晶片;
至少在第一器件晶片的Cu表面上形成金属粘附层;
在金属粘附层上且在第一器件晶片的Cu表面顶上放置包括另一Cu表面的第二器件晶片;和
在低于400℃的温度下将第一器件晶片和第二器件晶片接合在一起,其中,所述金属粘附层从两个Cu表面得到氧原子,并且在第一器件晶片的Cu表面与第二器件晶片的另一Cu表面之间形成金属氧化物接合层。
2.如权利要求1所述的方法,其中,第一器件晶片的所述Cu表面和第二器件晶片的所述另一Cu表面是Cu接合焊盘,并且每一Cu接合焊盘都被构图。
3.如权利要求1所述的方法,其中,第一器件晶片的所述Cu表面和第二器件晶片的所述另一Cu表面是Cu接合焊盘,并且每一Cu接合焊盘都未被构图。
4.如权利要求1所述的方法,其中,所述形成金属粘附层包含非选择性的沉积处理。
5.如权利要求1所述的方法,其中,所述形成金属粘附层包含选择性的沉积处理。
6.根据权利求1的方法,其中,所述形成金属粘附层包含:
选择Mn、Ta、Ti、Co、W、Ru、Ni或包含选自Mn、Ta、Ti、Co、w、Ru和Ni中的两种或更多种金属的组合的合金中的至少一种。
7.如权利要求2所述的方法,其中,所述金属氧化物接合层与所述Cu接合焊盘的侧壁垂直对准。
8.如权利要求1所述的方法,其中,所述第一器件晶片包含场效应晶体管,并且所述第二器件晶片包含另一场效应晶体管。
9.如权利要求1所述的方法,其中,所述Cu表面位于互连级的最高表面顶上,所述互连级包含至少一个互连电介质材料,所述至少一个互连电介质材料具有位于其中的多个导电特征件,其中,导电特征件中的至少一个与第一器件晶片的所述Cu表面的最底部分接触。
10.如权利要求1所述的方法,还包括:
在接合之前翻转器件晶片中的至少一个。
11.如权利要求1所述的方法,其中,金属粘附层的一部分保持在器件晶片中的至少一个顶上。
12.如权利要求1所述的方法,其中,所述金属粘附层包含多层结构,并且所述金属氧化物接合层包含多层结构。
13.一种三维(3D)集成电路,包括:
包含Cu表面的第一器件晶片和包含另一Cu表面的第二器件晶片的垂直叠层,其中,金属氧化物接合层位于第一器件晶片的第一Cu表面与第二器件晶片的另一Cu表面之间。
14.如权利要求13所述的3D集成电路,其中,第一器件晶片的所述Cu表面与第二器件晶片的所述另一Cu表面是Cu接合焊盘,并且每一Cu接合焊盘都被构图。
15.如权利要求13所述的3D集成电路,其中,第一器件晶片的所述Cu表面与第二器件晶片的所述另一Cu表面是Cu接合焊盘,并且每一Cu接合焊盘都未被构图。
16.如权利要求13所述的3D集成电路,其中,所述金属氧化物接合层与所述Cu接合焊盘中的每一个的侧壁垂直对准。
17.如权利要求13所述的3D集成电路,其中,所述金属氧化物接合层由金属粘附层通过从两个Cu表面得到氧原子而形成,金属粘附层的一部分保持在器件晶片中的至少一个顶上。
18.如权利要求17所述的3D集成电路,其中,所述金属粘附层包含Mn、Ti、Ta、Co、w、Ru和Ni中的一种或包含选自Mn、Ta、Ti、Co、w、Ru和Ni中的两种或更多种金属的组合的合金。
19.如权利要求13所述的3D集成电路,其中,所述金属氧化物接合层包含Mn、Ti、Ta、Co、W、Ru和Ni中的至少一种或包含选自Mn、Ta、Ti、Co、w、Ru和Ni中的两种或更多种金属的组合的合金的氧化物。
20.如权利要求13所述的3D集成电路,其中,所述第一器件晶片包含场效应晶体管,并且所述第二器件晶片包含另一场效应晶体管。
21.如权利要求13所述的3D集成电路,其中,所述cu表面位于互连级的最高表面顶上,所述互连级包含至少一个互连电介质材料,所述至少一个互连电介质材料具有位于其中的多个导电特征件,其中,导电特征件中的至少一个与第一器件晶片的cu表面的最底部分接触。
22.如权利要求13所述的3D集成电路,其中,所述第一器件晶片包含半导体基板和互连级,所述半导体基板具有至少部分位于其上的至少一个器件,所述互连级位于半导体基板顶上,并且所述互连级包含嵌入至少一个互连电介质材料内的多个导电特征件,其中导电区域连接所述多个导电特征件中的一个与所述cu表面。
23.如权利要求22所述的3D集成电路,其中,所述第二器件晶片从下到上包含绝缘体层、半导体器件层、至少部分位于所述半导体器件层上的至少一个其它器件和另一互连级,所述另一互连级包含嵌入至少一个其它互连电介质材料内的多个其它导电特征件,其中通路连接其它导电特征件中的至少一个与另一cu表面的最底部分,并且其中,所述第一器件晶片的所述cu表面和所述第二器件晶片的所述另一cu表面是经构图的cu接合焊盘。
24.如权利要求22所述的3D集成电路,其中,所述第二器件晶片包含另一半导体基板和另一互连级,所述另一半导体基板具有至少部分位于其上的至少一个其它器件,所述另一互连级位于另一半导体基板顶上,并且所述另一互连级包含嵌入至少一个其它互连电介质材料内的多个其它导电特征件,其中所述第一器件晶片和所述第二器件晶片中的至少一个被翻转,并且其中,第一器件晶片的所述cu表面和第二器件晶片的所述另一cu表面是经构图的cu接合焊盘。
25.如权利要求17所述的3D集成电路,其中,所述金属氧化物接合层是多层结构。
CN201210433490.5A 2011-11-03 2012-11-02 具有增强的铜对铜接合的三维(3d)集成电路及其形成方法 Active CN103094138B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/288,645 US8431436B1 (en) 2011-11-03 2011-11-03 Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US13/288,645 2011-11-03

Publications (2)

Publication Number Publication Date
CN103094138A CN103094138A (zh) 2013-05-08
CN103094138B true CN103094138B (zh) 2015-07-22

Family

ID=48129099

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210433490.5A Active CN103094138B (zh) 2011-11-03 2012-11-02 具有增强的铜对铜接合的三维(3d)集成电路及其形成方法

Country Status (4)

Country Link
US (2) US8431436B1 (zh)
JP (1) JP2013098541A (zh)
CN (1) CN103094138B (zh)
DE (1) DE102012219171B4 (zh)

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP2012204501A (ja) * 2011-03-24 2012-10-22 Sony Corp 半導体装置、電子デバイス、及び、半導体装置の製造方法
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
JP5994274B2 (ja) * 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US9000557B2 (en) * 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8772146B2 (en) 2012-08-28 2014-07-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
EP3301706A1 (de) 2013-07-05 2018-04-04 EV Group E. Thallner GmbH Verfahren zum bonden von teilweise metallischen kontaktflächen zweier substrate mittels mehrerer übereinander aufgebrachter opferschichten, bevorzugt einer festen opferschicht und einer flüssigen opferschicht
US9202788B2 (en) 2013-10-02 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9536848B2 (en) * 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
JP6717290B2 (ja) * 2015-03-03 2020-07-01 ソニー株式会社 半導体装置、および電子機器
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
WO2020112071A2 (en) * 2017-12-26 2020-06-04 Intel Corporation Semiconductor device having stacked transistors and multiple threshold voltage control
EP3525232A1 (en) * 2018-02-09 2019-08-14 Nexperia B.V. Semiconductor device and method of manufacturing the same
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
FR3101726B1 (fr) * 2019-10-04 2021-10-01 Commissariat Energie Atomique procédé de fabrication d’un dispositif électronique
US11676940B2 (en) * 2020-08-12 2023-06-13 Advanced Micro Devices, Inc. Hybrid bonded interconnect bridging
JP7438071B2 (ja) 2020-09-15 2024-02-26 株式会社東芝 半導体装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218732B1 (en) * 1998-09-15 2001-04-17 Texas Instruments Incorporated Copper bond pad process
CN1625805A (zh) * 2002-02-06 2005-06-08 揖斐电株式会社 半导体芯片安装用基板及其制造方法和半导体模块
CN101295691A (zh) * 2007-04-27 2008-10-29 台湾积体电路制造股份有限公司 半导体封装结构

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US20050003652A1 (en) 2003-07-02 2005-01-06 Shriram Ramanathan Method and apparatus for low temperature copper to copper bonding
US7214605B2 (en) 2003-10-09 2007-05-08 Intel Corporation Deposition of diffusion barrier
JP4894713B2 (ja) * 2007-10-17 2012-03-14 セイコーエプソン株式会社 偏光板、画像表示装置
JP2009158866A (ja) * 2007-12-27 2009-07-16 Seiko Epson Corp 半導体装置および電子機器
JP5544465B2 (ja) * 2010-02-01 2014-07-09 株式会社ザイキューブ 電子回路
JP2011166115A (ja) * 2010-11-22 2011-08-25 Napura:Kk 電子デバイス及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218732B1 (en) * 1998-09-15 2001-04-17 Texas Instruments Incorporated Copper bond pad process
CN1625805A (zh) * 2002-02-06 2005-06-08 揖斐电株式会社 半导体芯片安装用基板及其制造方法和半导体模块
CN101295691A (zh) * 2007-04-27 2008-10-29 台湾积体电路制造股份有限公司 半导体封装结构

Also Published As

Publication number Publication date
DE102012219171B4 (de) 2023-10-12
US20130113106A1 (en) 2013-05-09
US20130200520A1 (en) 2013-08-08
US8546956B2 (en) 2013-10-01
US8431436B1 (en) 2013-04-30
JP2013098541A (ja) 2013-05-20
CN103094138A (zh) 2013-05-08
DE102012219171A1 (de) 2013-05-08

Similar Documents

Publication Publication Date Title
CN103094138B (zh) 具有增强的铜对铜接合的三维(3d)集成电路及其形成方法
KR101319982B1 (ko) 낮은 접촉저항을 갖는 cmos 회로 및 그 제조를 위한 방법
US9355935B2 (en) Connecting through vias to devices
US8658488B2 (en) Method for forming semiconductor chip with graphene based devices in an interconnect structure of the chip
US8846513B2 (en) Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
US9385179B2 (en) Deep trench decoupling capacitor and methods of forming
US10483208B2 (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
US8637993B2 (en) 3D integrated circuit system with connecting via structure and method for forming the same
US11251308B2 (en) Semiconductor device and method
US20220359264A1 (en) Methods of Forming Spacers for Semiconductor Devices Including Backside Power Rails
CN115223969A (zh) 具有铜锰衬层的半导体元件及其制备方法
US11876045B2 (en) Method for preparing semiconductor device with copper-manganese liner
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
US20220231021A1 (en) Fin top hard mask formation after wafer flipping process
US20220416035A1 (en) Structure and formation method of semiconductor device with backside contact
CN116344450A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171114

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171114

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right