JP2013098541A - 強化された銅−銅接合を有する三次元(3d)集積回路およびその形成方法 - Google Patents

強化された銅−銅接合を有する三次元(3d)集積回路およびその形成方法 Download PDF

Info

Publication number
JP2013098541A
JP2013098541A JP2012197293A JP2012197293A JP2013098541A JP 2013098541 A JP2013098541 A JP 2013098541A JP 2012197293 A JP2012197293 A JP 2012197293A JP 2012197293 A JP2012197293 A JP 2012197293A JP 2013098541 A JP2013098541 A JP 2013098541A
Authority
JP
Japan
Prior art keywords
device wafer
another
integrated circuit
adhesion layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012197293A
Other languages
English (en)
Inventor
Son Van Nguyen
ソン・ヴァン・グエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013098541A publication Critical patent/JP2013098541A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1357Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13575Plural coating layers
    • H01L2224/1358Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13649Manganese [Mn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16501Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/2956Disposition
    • H01L2224/29563Only on parts of the surface of the core, i.e. partial coating
    • H01L2224/29564Only on the bonding interface of the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/2957Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29575Plural coating layers
    • H01L2224/2958Plural coating layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29649Manganese [Mn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29676Ruthenium [Ru] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/2954Coating
    • H01L2224/29599Material
    • H01L2224/296Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/325Material
    • H01L2224/32501Material at the bonding interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/8182Diffusion bonding
    • H01L2224/8183Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83801Soldering or alloying
    • H01L2224/8382Diffusion bonding
    • H01L2224/8383Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】改良されたCu−Cu接合を実現した三次元(3D)集積回路を提供する。
【解決手段】第一デバイス・ウエハの少なくともCu表面上に少なくとも一つの金属接着層が形成される。別のCu表面を有する第二デバイス・ウエハが、第一デバイス・ウエハのCu表面の上で且つ少なくとも一つの金属接着層上に位置付けられる。次いで、第一および第二デバイス・ウエハが共に接合される。この接合ステップは、外部から加える圧力の使用の有無にかかわらず、これらデバイス・ウエハを400℃未満の温度に加熱するステップを含む。加熱の過程で、2つのCu表面は共に接合され、該少なくとも一つの金属接着層が2つのCu表面から酸素原子を得て、これらCu表面の間に少なくとも一つの金属酸化物接合層を形成する。
【選択図】図6

Description

本開示は、半導体構造体およびそれを形成する方法に関する。さらに具体的には、本開示は、改良されたCu−Cu接合が実現された三次元(3D)集積回路に関する。
現今の電子デバイスに対するスケーリング条件に対処するため、チップ設計者らおよび製造者らは、利用可能なチップ・スペースを最良に最大化する回路設計を工夫するよう常に努めている。その結果得られた設計は、多くの場合いくつかの異なるレベルに展開される。例えば、かかる三次元(3D)回路設計の一つには、積み重ね構造に位置付けされたいくつかの異なるデバイス層を含むものがある。別の事例では、3D設計が、層間の垂直ビアによって共に接続された、複数のデバイス層と相互接続層とから成る垂直スタックを含んでいることがある。
典型的な3D集積回路において、2つの能動デバイス・ウエハが、背合わせに積み重ねられる。これら2つの能動デバイス・ウエハは、次いで、熱圧着を用い、Cu−Cu接合を行って共に接合される。この接合処理には、妥当な高温および圧力両方の印加が必要である。かかる直接的Cu−Cu合では、共に接合されるCu表面にCuOが形成される。Cu表面におけるCuOの存在は、接合構造体の抵抗を増加させ、特に接着性など、接合構造体の信頼性を低下させる。
このため、接合されたCu−Cu表面におけるCuOの形成を排除した、改良されたCu表面の共接合の方法が必要とされている。
第一デバイス・ウエハの少なくともCu表面、通常はCu接合パッド、上に少なくとも一つの金属接着層が形成される。別のCu表面、通常は別のCu接合パッド、を有する第二デバイス・ウエハが、第一デバイス・ウエハのCu表面の上で且つ少なくとも一つの金属接着層上に位置付けられる。次いで、第一および第二デバイス・ウエハが共に接合される。この接合ステップは、外部から加える圧力の使用の有無にかかわらず、これらデバイス・ウエハを400℃未満の温度に加熱するステップを含む。加熱の過程で、2つのCu表面は共に接合され、該少なくとも一つの金属接着層が2つのCu表面から酸素原子を得て、これらCu表面の間に少なくとも一つ金属酸化物接合層を形成する。
本開示の一つの態様において、三次元(3D)集積回路を形成する方法が提供される。本開示の方法は、少なくともCu表面を含む、第一デバイス・ウエハを用意するステップを含む。次に、そのCu表面の上に金属接着層が形成される。別のCu表面を有する第二デバイス・ウエハが、第一デバイス・ウエハの金属接着層上で且つCu表面の上に位置付けられる。第一および第二デバイス・ウエハは、400℃未満の温度で共に接合される。接合の過程で、金属接着層は、2つのCu表面から酸素原子を得て、Cu表面と別のCu表面との間に金属酸化物接合層を形成する。
本開示の別の態様は、三次元(3D)集積回路に関する。本3D集積回路は、Cu表面を有する第一デバイス・ウエハと別のCu表面を有する第二デバイス・ウエハとの垂直スタックを含み、金属酸化物接合層が、第一デバイス・ウエハのCu表面と第二デバイス・ウエハの別のCu表面との間に配置される。
3D集積回路を形成するのに用いることのできる、本開示の各種処理ステップを示す、ブロック図様式の概略図である。 本開示の一つの実施形態において用いることのできる、パターン取りされたCu接合パッドを含む、第一デバイス・ウエハを(断面図を介して)示した図示表現である。 第一デバイス・ウエハの少なくともCu接合パッド上に金属接着層を形成した後の、図2の第一デバイス・ウエハを(断面図を介して)示した図示表現である。 図3に示された第一デバイス・ウエハの上方に位置付けられた、少なくとも一つ他のCu接合パッドを含む第二デバイス・ウエハを(断面図を介して)示した図示表現である。 400℃未満の温度で接合した後の、図4の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 第二デバイス・ウエハから取扱い用基板を除去した後の、図5の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 本開示の一つの実施形態において用いることのできるパターン取りなしCu接合パッドを含む第一デバイス・ウエハを(断面図を介して)示した図示表現である。 第一デバイス・ウエハのパターン取りなしCu接合パッド上に金属接着層を形成した後の、図7の第一デバイス・ウエハを(断面図を介して)示した図示表現である。 図8に示された第一デバイス・ウエハの上方に位置付けられた、少なくとも一つの他のCu接合パッドを含む第二デバイス・ウエハを(断面図を介して)示した図示表現である。 400℃未満の温度で接合した後の、図9の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 第二デバイス・ウエハから取扱い基板を除去した後の、図10の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 本開示のさらなる実施形態で用いることができる、パターン取りされたCu接合パッドを共に含む2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 デバイス・ウエハの少なくとも一つの、パターン取りされたCu接合パッド上に少なくとも一つの金属接着層を形成した後の、図12の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 デバイス・ウエハの一つを180°回転させ、一つのデバイス・ウエハのパターン取りされたCu接合パッドを、他方のデバイス・ウエハのパターン取りされたCu接合パッドに整合させた後の、図13の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 400℃未満の温度で接合した後の、図14の2つのデバイス・ウエハを(断面図を介して)示した図示表現である。 金属接着層を形成するのに選択的堆積処理が使われていることを除き、図2〜図6に示された基本的処理ステップを使って形成できる3D集積回路を(断面図を介して)示した図示表現である。 金属接着層を形成するのに選択的堆積処理が使われていることを除き、図12〜図15に示された基本的処理ステップを使って形成できる3D集積回路を(断面図を介して)示した図示表現である。
改良されたCu−Cu接合を有する三次元(3D)集積回路およびこれを形成する方法に関する本開示を、以下の詳解および本出願に添付された図面を参照しながら、以降により詳細に説明する。なお、本出願の図面は、例示目的で提示されており、そのため一定の縮尺では描かれていない。
以下の説明において、本発明が十分理解されるように、特定の構造体、コンポーネント、材料、寸法、処理ステップ、および技法など、数々の具体的詳細が示される。しかしながら、当業者は、本開示が、これらの具体的詳細が無くても、実行可能な別の処理オプションを使って実施できることをよく理解していよう。他の例では、本開示の各種実施形態の不明瞭化を避けるために、周知の構造または処理ステップは詳細には説明していない。
層、領域、または基板などのエレメントが別のエレメントの「上に(“on”)」ある、または「覆って(“over”)」いると言及された場合、それが別のエレメントの直接上にあることも、介在するエレメントが存在することもあることを理解されたい。これに対し、あるエレメントが別のエレメントの「直接上に(“directly on”)」ある、または「直接覆って(“directly over”)」いると言及された場合、介在するエレメントは存在しない。また、あるエレメントが別のエレメントの「真下に(“beneath”)」または「下に(“under”)」あると言及された場合、それが別のエレメントの直接真下または下にあることも、介在するエレメントが存在することもあることを理解されたい。これに対し、あるエレメントが別のエレメントの「直接真下に(“directly beneath”)」または「直接下に(“directly under”)」あると言及され場合、介在するエレメントは存在しない。
前述したように、本開示は、三次元(3D)集積回路を形成する方法を提供する。本開示の方法は、図1に示されたブロック図中に概略的に表されている。本開示の方法は、Cu表面を含む第一デバイス・ウエハを用意するステップを含む(ステップ100)。次に、第一デバイス・ウエハの少なくともCu表面上に金属接着層が形成される(ステップ102)。別のCu表面を有する第二デバイス・ウエハが、第一デバイス・ウエハの金属接着層上で且つCu表面の上に位置付けられる(ステップ104)。第一および第二デバイス・ウエハは、400℃未満の温度で共に接合される(ステップ106)。接合の過程で、金属接着層は、2つのCu表面から酸素原子を得て、第一デバイス・ウエハのCu表面と第二デバイス・ウエハの別のCu表面との間に金属酸化物接合層を形成する。
本開示の方法は、Cu表面を含む第一デバイス・ウエハと別のCu表面を含む第二デバイス・ウエハとの垂直スタックを含む三次元(3D)集積回路を提供し、金属酸化物接合層が、第一デバイス・ウエハのCu表面と第二デバイス・ウエハの別のCu表面との間に配置される。この金属酸化物接合層は、Cu−Cu接合面の抵抗を増加させることなく、異なるデバイス・ウエハの間の改良されたCu−Cu接合を提供する。
本開示全体を通して使われる「デバイス・ウエハ(“device wafer”)」という用語は、半導体基板と、少なくとも部分的に半導体基板上に配置された少なくとも一つのデバイスと、少なくとも一つの導電機能(ビアもしくはラインまたはその両方)が中に内蔵されている少なくとも一つの相互接続誘電体材料を含む、相互接続レベルと、相互接続レベルの表面に配置され、少なくとも一つの相互接続材料内に配置された導電機能の少なくとも一つと接触しているCu接合パッドと、を含む半導体構造体をいう。いくつかの実施形態において、Cu接合パッドはパターン取りすることができ、しかして、相互接続レベルの一部の部分上にだけ配置される。他の実施形態において、Cu接合パッドをパターン取りせず、しかして相互接続レベル全体の上に配置することができる。
この少なくとも一つのデバイスは、電解効果トランジスタ、抵抗、コンデンサ、BiCMOS、ダイオード、導体、マイクロ電気機械(MEM:microelectromechanical)デバイス、熱冷却デバイス、またはこれらの任意の組み合わせとすることができる。これらのデバイスは同じまたは異なる極性を有することができ、同じまたは異なる機能を有することができる。例えば、これらデバイスは、メモリ、マイクロプロセッサ、マイクロコントローラ、センサ、熱冷却エレメント、またはこれらの機能の任意の組み合わせのいずれとしても用いることができる。
まず、本開示の方法を使って3D集積回路を作製するための、本開示の一つの実施形態を示している図3〜図6を参照する。なお、以下の詳解および図面では、2つのデバイス・ウエハの垂直積み重ねおよび共接合を説明、図示しているが、本開示は、2つだけのデバイス・ウエハの垂直積み重ねおよび共接合には限定されない。代わりに、本開示は、本開示の方法を使って、n個のデバイス・ウエハが垂直に積み重ねられ共に接合される実施形態においても用いることができ、nは2より大きい整数である。
最初に、図2を参照すると、相互接続レベル26の上に配置されパターン取りされたCu接合パッド30を含む第一デバイス・ウエハ10が示されている。また、第一デバイス・ウエハ10は、少なくとも一つの絶縁領域14が中に配置されている半導体基板12の表面上に、少なくとも部分的に配置された少なくとも一つの第一デバイス18も含む。これらの図面および以下の詳解において、この少なくとも一つの第一デバイス18は、少なくとも一つのゲート誘電体20と上に重なるゲート導体22とのゲート・スタックを含む電界効果トランジスタである。ゲート・スタック(20および22)の側壁上に、少なくとも一つのスペーサ24を配置することができる。ソース/ドレイン領域16Lおよび16Rは、ゲート・スタック(20および22)のフットプリントに示されており、半導体基板12の上部内に配置されている。
相互接続レベル26は、複数の導電機能28L、28Rが中に配置されている、少なくとも一つの相互接続誘電体材料27を含む。図示のように、複数の導電機能の導電機能28Lの一つは電界効果トランジスタのソース/ドレイン領域16Lに接触しており、一方、複数の導電機能の導電機能28Rの別の一つは電界効果トランジスタのソース/ドレイン領域16Rに接触している。また、図示のように、導電機能28Rは、相互接続レベル26の上に形成されたパターン取りされたCu接合パッド30の一つの表面と接触している導電領域、すなわちビア29を有する。
図2に示された第一デバイス・ウエハ10は、当業者に周知の技法を利用して形成することができる。また、第一デバイス・ウエハ10のさまざまなコンポーネントは、これも当業者に周知の材料で形成することができる。
以下に、かかる第一デバイス・ウエハ10をどのように作製できるか、並びに、第一デバイス・ウエハ10の中に配置できるコンポーネントの各々に対するいくつかの材料について、一部の詳細を提示する。かかる詳細を提示はするが、当業者に周知の他の方法もしくは材料またはその両方を使って、図2に示された第一デバイス・ウエハ10を形成することも可能である。
第一デバイス・ウエハ10の半導体基板12は、以下に限らないが、Si、Ge、SiGe、SiC、SiGeC、GaAs、GaN、InAs、InPおよび他のIII/V族またはII/VI化合物半導体全てを含め、任意の半導体材料で構成することができる。また、半導体基板12には、有機半導体、またはSi/SiGe、シリコン・オン・インシュレータ(SOI:silicon−on−insulator)、SiGeオン・インシュレータ(SGOI:SiGe−on−insulator)またはゲルマニウム・オン・インシュレータ(GOI:germanium−on−insulator)などの層状半導体を含めることもできる。半導体オン・インシュレータ基板は最上部から底部に向けて、最上部半導体デバイス層と、絶縁体層と、底部半導体部分とを含む。本開示のいくつかの実施形態において、半導体基板12は、Si含有半導体材料、すなわちシリコンを含む半導体材料から成る。半導体基板12は、ドープ、非ドープとすることができ、またはその中にドープ領域と非ドープ領域とを含めることができる。半導体基板12には、単一の結晶方位を含めることができ、または種々の結晶方位を含めることもできる。説明の便宜上、第一デバイス・ウエハの半導体基板12はバルク半導体から成るものとする。「バルク(“bulk”)」とは、基板の全体が、半導体材料から成ることをいうものする。
また半導体基板12には、第一ドープ(n−またはp−)領域および第二ドープ(n−またはp−)領域を含めることができる。簡明化のため、これらドープ領域は、本出願のどの図面にも具体的には示していない。第一ドープ領域と第二ドープ領域とは同じにすることもでき、またはこれらに異なった伝導性もしくは異なったドーピング濃度またはその両方を持たせることもできる。これらドープ領域は「ウェル(“well”)」として知られており、在来のイオン注入処理を用いて形成することができる。
半導体基板12の中に少なくとも一つの絶縁領域14を形成することができる。この少なくとも一つの絶縁領域14は、トレンチ絶縁領域またはフィールド酸化物絶縁領域とすることができる。トレンチ絶縁領域は当業者には周知のトレンチ絶縁処理を用いて形成される。例えば、トレンチ絶縁領域の形成には、リソグラフィ、エッチング、およびトレンチ誘電体でトレンチを満たすステップを使うことができる。随意的に、トレンチ充填の前にトレンチ中にライナを形成することができ、トレンチ充填後に緻密化ステップを実施することができ、トレンチ充填の後で平坦化処理を行うこともできる。フィールド酸化物は、いわゆるシリコンの局所酸化処理を用いて形成することができる。当業者には周知のように、少なくとも一つの絶縁領域14は、隣接するデバイスが反対の伝導性を有する場合、すなわちnFETおよびpFETの場合などに通常必要となる、隣接するデバイスの間の絶縁を提供する。本明細書では、隣接する絶縁領域の間に位置する半導体基板12の部分を、半導体基板12の「活性化領域」という。半導体基板12の活性化領域は、その中にデバイスが少なくとも部分的に形成されることになる領域である。
その中にデバイス(群)が形成されることになる活性化領域(群)が画定された後、半導体基板12の該活性化領域(群)中にデバイス(群)が少なくとも部分的に形成される。これら図面において、前述したように、この例示の実施形態で形成される少なくとも一つの第一デバイス18は電界効果トランジスタである。この電界効果トランジスタは少なくとも一つのゲート誘電体20とゲート導電体22とのゲート・スタックを含む。
ゲート誘電体20は任意の絶縁材料を含む。一つの実施形態において、ゲート誘電体20は、半導体酸化物、半導体窒化物、もしくは半導体酸窒化物またはこれらの組み合わせを含む。別の実施形態では、ゲート誘電体20は、酸化ケイ素の誘電率の例えば3.9より大きな誘電率を有する誘電体金属酸化物を含む。本明細書では、酸化ケイ素の誘電率より大きな誘電率を有する、かかる誘電体金属酸化物をhigh−k誘電体材料という。典型的には、本開示において採用可能なhigh−kゲート誘電体は4.0より大きな誘電率を有するが、8.0より大きな誘電率であればさらに典型的である。ゲート誘電体20として採用可能な代表的high−k誘電体材料には、以下に限らないが、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Y、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Y、これらのケイ酸塩、およびこれらの合金が含まれる。xの各値は、独立して0.5〜3であり、yの各値は、独立して0〜2である。いくつかのの実施形態において、前述の誘電体材料の少なくとも2つによる多層スタックを用いることができる。例えば、一つの実施形態において、ゲート誘電体20には、半導体酸化物すなわち酸化ケイ素の下部層と、例えばHfOなどのhigh−k誘電体材料の上部層とを含めることができる。
ゲート誘電体20の厚さは、これを形成するのに使われる技法によって変わり得る。しかしながら、典型的には、ゲート誘電体20は、0.5nm〜10nmの厚さを有し、1.0nm〜5nmであればさらに典型的である。本開示のいくつかの実施形態において、用いられるゲート誘電体20に1nmまたはそれ以下のオーダーの有効酸化物厚さを持たせることができる。
ゲート誘電体20は、例えば、化学気相堆積(CVD:chemical vapor deposition)、物理気相堆積(PVD:physical vapor deposition)、分子ビーム堆積(MBD:molecular beam deposition)、パルス・レーザ堆積(PLD:pulsed laser deposition)、液体ミスト化学堆積(LSMCD:liquid source misted chemical deposition)、原子層堆積(ALD:atomic layer deposition)、および他の類似の堆積処理を含め、当該技術において周知の方法によって形成することができる。いくつかの実施形態において、特に半導体酸化物、窒化物もしくは酸窒化物またはこれらの組み合わせがゲート誘電体20として用いられる場合、ゲート誘電体20は、例えば、熱酸化もしくは熱窒化またはその両方など、熱処理によって形成することができる。かかる事例では、半導体酸化物、窒化物もしくは酸窒化物またはこれらの組み合わせの半導体部分は、下層の半導体基板12のものと同じ半導体材料を含む。
図2に示された、少なくとも一つ第一デバイス18、すなわち電界効果トランジスタのゲート・スタックのゲート導体22は、以下に限らないが、多結晶シリコン、多結晶シリコン・ゲルマニウム、元素金属(例えば、タングステン、チタン、タンタル、アルミニウム、ニッケル、ルテニウム、パラジウム、および白金)、少なくとも2つの元素金属の合金、窒化元素金属(例えば、窒化タングステン、窒化アルミニウム、および窒化チタン)、ケイ化元素金属(例えば、ケイ化タングステン、ケイ化ニッケル、ケイ化チタン)およびこれらの多層を含め、任意の伝導性材料を含む。一つの実施形態において、ゲート導体22はnFET金属から成る。別の実施形態では、ゲート導体22は、pFET金属から成る。またさらなる実施形態では、ゲート導体22は多結晶シリコンから成り、下層の伝導性金属部分を有する場合も有しない場合もある。
ゲート導体22は、例えば、化学気相堆積(CVD)、プラズマ化学気相堆積(PECVD:plasma enhanced chemical vapor deposition)、蒸着、物理気相堆積(PVD)、スパッタリング、化学溶液堆積、原子層堆積(ALD)および他の類似の堆積処理を含め、在来の堆積処理を用いて形成することができる。ゲート導体22としてSi含有材料が使われる場合、該Si含有材料は、インサイチュ(in−situ)ドーピングの堆積処理を用いるか、もしくは堆積の後でイオン注入またはガス相ドーピングンなどのステップを用い、適切な不純物がSi含有材料中に導入されるようにして、適切な不純度内にドープすることができる。ケイ化金属を形成する場合、在来のケイ化処理を用いることができる。
いくつかの実施形態において、ゲート誘電体20およびゲート導体22は、ブランケット層として形成され、然る後、パターン取り処理を使ってこれらを含むゲート・スタックが形成される。このパターン取り処理は、リソグラフィ(ゲート導体22の上面の上にフォトレジストを塗布し、フォトレジストを放射露光させ、在来の現像液を使って露光したレジストを現像する処理)を含む。このリソグラフィ・ステップでは、ゲート導体22のブランケット層の上にパターン取りされたフォトレジストが得られる。次いで、エッチング処理を使って、パターン取りされたフォトレジストから、その下部のゲート誘電体およびゲート導体材料のブランケット層にパターンを転写する。このエッチングには、例えば、反応性イオンエッチング、プラズマエッチング、イオンエッチング、またはレーザ・アブレーションなどドライ・エッチング処理を含めることができる。このエッチングには、ゲート誘電体およびゲート導体材料のブランケット層の、パターン取りされたフォトレジストによって保護されていない部分を除去するために、一つ以上の化学腐食液が使われる湿式化学エッチング処理をさらに含めることができる。パターン取りされたフォトレジストは、アッシング処理を用いて除去することができる。
他の実施形態において、ゲート誘電体20とゲート導体22とを含むゲート・スタックは、置換ゲート処理を用いて形成される。かかる処理では、少なくとも犠牲ゲート材料を含むスタックが、平坦化誘電体材料中に存在する開口部の中に形成される。該少なくとも犠牲ゲート材料が除去され、次いで前述したゲート導体材料の一つで置き換えられる。いくつかの実施形態において、置換ゲート処理には、同様に、犠牲ゲート誘電体材料を含めることもできる。かかる実施形態では、犠牲ゲート材料および犠牲ゲート誘電体は両方とも除去され、前述のゲート導体材料の一つと前述のゲート誘電体材料の一つとに置き換えられる。
少なくとも一つの第一デバイス18として示された電界効果トランジスタには、少なくとも一つのスペーサ24をさらに含めることができる。いくつかの実施形態において、少なくとも一つのスペーサ24は、少なくともゲート誘電体20とゲート電極22とを含むゲート・スタックの側壁から省くことができる。少なくとも一つのスペーサ24は、存在する場合、例えば、酸化ケイ素、窒化ケイ素、もしくは酸窒化ケイ素またはこれらの組み合わせなど、酸素不浸透性の誘電体材料から成る。少なくとも一つのスペーサ24は、酸素不浸透性の誘電体材料の堆積とその後のエッチングによって形成することができる。いくつかの実施形態において、少なくとも一つのスペーサ24を形成するのに熱処理を用いることができる。
また、図2に示された電界効果トランジスタ(すなわち、少なくとも一つの第一デバイス18)は、ソース/ドレイン領域16Lおよび16Rを含む。ソース/ドレイン領域16Lおよび16Rは、ゲート誘電体20とゲート導体22とを含むゲート・スタックの形成の前でもまたは後でも、イオン注入およびアニーリングを用いて形成することができる。イオン注入処理のための条件およびドーパントは当業者には周知である。アニールは、半導体基板12中にイオン注入されたドーパントを活性化するもので、約800℃以上の温度に加熱するステップを含む。ゲート・スタック(20および22)の真下に所在しソース/ドレイン領域16L、16Rによって横方向に境界されている、半導体基板12の部分はトランジスタのチャネル領域である。
少なくとも一つの第一デバイス18を形成した後、相互接続レベル26が形成される。相互接続レベル26は、単一の相互接続レベルとすることもでき、または、垂直に積み重ねられ、電気的に接続された複数の相互接続レベル群とすることもできる。相互接続レベル26は、複数の導電機能28Lおよび28Rが中に配置されている、少なくとも一つの相互接続誘電体材料27を含む。複数の導電機能の導電機能28Lの一つは、電界効果トランジスタのソース/ドレイン領域16Lに接触しており、一方、複数の導電機能の導電機能28Rの別の一つは、電界効果トランジスタのソース/ドレイン領域16Rに接触している。導電機能28Rは、相互接続レベル26の上に形成されたパターン取りされたCu接合パッド30の一つ、の表面と接触している導電領域、すなわちビア29を有する。
相互接続レベル26は、例えばダマシン処理を含め、当該技術分野で周知の技法を使って形成される。ダマシン処理では、少なくとも一つの相互接続誘電体材料27が最初に形成され、次いで、リソグラフィおよびエッチングを使って、少なくとも一つ相互接続誘電体材料27中に複数の開口部が形成される。複数の開口部は、ビア開口、ライン開口、またはビア開口およびライン開口の組み合わせとすることができる。ビア開口およびライン開口によって開口部が画定されると、2回目の繰り返しリソグラフィおよびエッチングを用いることができる。かかる開口部の組み合わせにおいて、ビアとラインとは相互に連通している。
これら開口部は、次いで伝導性材料で充填されて、導電機能28Lおよび28Rを形成する。
いくつかの実施形態において、導電領域29は、導電機能28Lおよび28Rと同時に形成することができる。他の実施形態では、導電領域29は、導電機能28Lおよび28Rの後で形成することができる。かかる実施形態では、導電機能28Lおよび28Rの各々は、第一相互接続誘電体材料の上面とほぼ同一平面上にある最上面を有する。次いで第二相互接続誘電体材料(第一相互接続誘電体材料と同じかまたは異なる)が形成され、導電領域29が、導電機能28Lおよび28Rの形成に関して前述したのと同じ技法を使って形成される。この特定の実施形態において、第一および第二相互接続誘電体材料は、本開示のいくつかの実施形態で使用可能な多層レベルの相互接続構造を形成する。
相互接続レベル26の少なくとも一つの相互接続誘電体材料27には、無機誘電体または有機誘電体を含む任意のレベル間またはレベル内誘電体を含めることができる。該少なくとも一つの相互接続誘電体材料27は、多孔質であっても非多孔質であってもよい。多孔質の誘電体材料は、一般に、非多孔質のものよりも低い誘電率を有する。この少なくとも一つの相互接続誘電体材料27として使用可能な適切な誘電体の一部の例には、以下に限らないが、SiO、シルセスキオキサン、Si、C、OおよびH原子を含むCドープ酸化物(すなわち有機シリケート)、熱硬化性ポリアリーレン・エーテル、またはこれらの多層が含まれる。本出願では、「ポリアリーレン(“polyarylene”)」という用語は、結合、縮合環、または 例えば酸素、硫黄、スルホン、スルホキシド、カルボニル等などの不活性連結基で、共に結び付けられた、アリール部分または不活性に置換されたアリール部分をいう。一つの実施形態において、少なくとも一つの相互接続誘電体材料27は、約4.0またはそれ以下の誘電率を有する。別の実施形態では、少なくとも一つの相互接続誘電体材料27は、約2.8またはそれ以下の誘電率を有する。本開示で述べる全ての誘電率は、別途の記載がなければ真空に対するものである。少なくとも一つの相互接続誘電体材料27の厚さは、用いられる誘電体材料、および相互接続レベル26内の誘電体の正確な数の如何によって変わり得る。一般に、通常の相互接続構造に対しては、該少なくとも一つの相互接続誘電体材料27は200nm〜450nmの厚さを有する。
導電機能28Lおよび28R並びに導電領域29は、例えば、ポリSi、伝導性金属、少なくとも2つの伝導性金属を含む合金、伝導性金属シリサイド、またはこれらの組み合わせなどの伝導性材料を含む。一つの実施形態において、導電機能28Lおよび28R並びに導電領域29を形成するのに使われる伝導性材料は、Cu、W、またはAlなどの伝導性金属である。導電機能28Lおよび28Rは、導電領域29と同じかまたは異なった伝導性材料で構成することができる。
導電機能28Lおよび28R並びに導電領域29を形成するのに使われる伝導性材料は、以下に限らないが、CVD、PECVD、スパッタリング、化学溶液堆積、またはメッキを含め、在来の堆積処理を用いて形成される。堆積の後、例えば化学機械研磨(CMP:chemical mechanical polishing)など、在来式の平坦化処理を使って平坦な相互接続構造体を得ることができる。
パターン取りされたCu接合パッド30は、まず、導電機能28Lおよび28R並びに導電領域29の伝導性材料の形成に関して前述した技法の一つを用いて、相互接続レベル26の上にCuまたはCu合金のブランケット層を形成することによって、相互接続レベル26の上に形成される。次に、リソグラフィおよびエッチングを用いてパターン取りされたCu接合パッド30が形成される。図示のように、パターン取りされたCu接合パッド30の一つは、導電領域29の上面に接触している。この実施形態では、パターン取りされたCu接合パッド30が示され説明されているが、パターン取りなしCu接合パッドを用いる実施形態も後記で説明する。
ここで図3を参照すると、第一デバイス・ウエハ10の該少なくともCu接合パッド30上に金属接着層32が形成された後の、図2の第一デバイス・ウエハ10が示されている。本実施形態において、金属接着層32は、例えば、蒸着、物理気相堆積、アルゴン・スパッタリング、化学気相堆積、プラズマ化学気相堆積、または原子層堆積などの非選択的堆積処理を用いて形成される。この「非選択的(“non−selective”)」とは、金属接着層32が全露出面に形成される堆積処理をいうものとする。
金属接着層32は、CuまたはCu合金よりも酸素に対し高い親和力を有する金属または金属合金から成る。言い換えれば、金属接着層32は、CuまたはCu合金よりも酸素反応性の高い金属または金属合金から成る。具体的には、金属接着層32はMn、Ti、Co、Ta、W、Ru、およびNiの一つを含む。一つの実施形態において、金属接着層32は、Mn、またはTi、Co、Ta、W、Ni、およびRuの少なくとも一つと共に合金化されたMnを含む。別の実施形態では、金属接着層32は、Mn、Ti、Co、Ta、W、Ni、およびRuから選択された2つ以上を包含する金属合金を含む。
金属接着層32の厚さは、用いられる金属または金属合金、および接着層を形成する際に使われた処理の条件の如何によって変わり得る。一つの実施形態において、金属接着層32は0.2nm〜20nmの厚さを有する。別の実施形態では、金属接着層32は0.5nm〜5nmの厚さを有する。いくつかの実施形態において、金属接着層32は単一層の材料である。別の実施形態では、金属接着層32は多層構造を含む。多層構造を用いる場合、多層構造体内の各金属接着材料は同じであってもまたは異なっていてもよい。
ここで図4を参照すると、図3に示された第一デバイス・ウエハ10の上方に位置付けられた、パターン取りされたCu接合パッド72を含む第二デバイス・ウエハ50が示されている。第二デバイス・ウエハ50は、半導体デバイス層52Bおよび絶縁体層52Aを包含する半導体基板52を含む。半導体デバイス層52Bは、半導体基板12に関して前述した半導体材料の一つを含む。半導体デバイス層52Bの厚さは、通常、5nm〜5000nmの範囲内にある。本開示では、上記の厚さより上または下の他の厚さを用いることもできる。
絶縁体層52Aは、半導体酸化物、半導体窒化物、もしくは半導体酸窒化物またはこれらの組み合わせを含む。絶縁体層52Aの厚さは、通常、5nm〜500nmの範囲内である。本開示では、上記の厚さより上または下の他の厚さを用いることもできる。
一つの実施形態において、絶縁体層52Aおよび半導体デバイス層52Bは、基板の底部の半導体部分が、図4に示された第二デバイス・ウエハ50の作製の過程で除去された、半導体オン・インシュレータ基板のコンポーネントである。かかる実施形態において、基板の底部の半導体部分は、エッチングによって、または、例えばCMPもしくは研磨またはその両方などの平坦化処理を用いることによって除去することができる。半導体オン・インシュレータ基板の底部半導体部分の除去は、通常、第二デバイス・ウエハ50の上に取扱い基板(または取扱いウエハ)を形成した後で行われる。
いくつかの実施形態において、絶縁体層52Aは、バルク半導体基板が処理され、少なくとも一つのデバイス、一つの相互接続レベル、および取扱い基板が含められた後、バルク半導体基板(これは半導体デバイス層52Bを表す)の底面上に形成される。
半導体デバイス層52Bは、その中に形成された少なくとも一つの絶縁領域54を含む。この少なくとも一つの絶縁領域54を形成して、少なくとも一つの絶縁領域14に関して前述した材料をこれに含めることができる。
第二デバイス・ウエハ50は、少なくとも部分的に半導体デバイス層52Bの上に形成された少なくとも一つの第二デバイス58をさらに含む。本実施形態において、少なくとも一つの第二デバイス58は、少なくともゲート誘電体60とゲート導体62とのゲート・スタックを含む電界効果トランジスタである。ゲート誘電体60およびゲート導体62を形成して、ゲート誘電体20およびゲート導体22に関して前述した材料および厚さを含めることができる。
この電界効果トランジスタ(すなわち、少なくとも一つの第二デバイス58)は、半導体デバイス層52B内の、ゲート誘電体60とゲート導体62とを含むゲート・スタックのフットプリントに配置されたソース/ドレイン領域56Lおよび56Rをさらに含む。ソース/ドレイン領域56Lおよび56Rは、ソース/ドレイン領域16Lおよび16Rの形成に関して前述したようにして形成することができる。ゲート・スタック(60および62)の真下に所在し、ソース/ドレイン領域56L、56Rによって横方向に境界されている、半導体デバイス層52Bの領域は少なくとも一つの第二デバイス58のチャネル領域である。
少なくとも一つのスペーサ63を、ゲート・スタック(すなわち、60および62)の側壁に配置することができる。少なくとも一つのスペーサ63は、少なくとも一つのスペーサ24に関して前述した材料の一つで構成できる。少なくとも一つのスペーサ63は、少なくとも一つのスペーサ24の形成に関して前述したのと同じ技法を使って形成することができる。
第二デバイス・ウエハ50は、少なくとも一つの相互接続誘電体材料65内に配置された複数の導電機能66Lおよび66Rを包含する相互接続レベル64をさらに含む。相互接続誘電体材料65を形成して、相互接続誘電体材料27に関して前述した材料および厚さを含めることができる。導電機能66Lおよび66Rを形成して、導電機能28Lおよび28Rに関して前述した材料を含めることができる。
少なくとも一つの第二デバイス58および相互接続レベル64を形成した後、相互接続レベル64の最上面に取扱い基板68が形成される。取扱い基板68は、ガラス、Al、SiN、もしくは、例えば、カーボン、スピンオン有機/無機ポリマー、または軟質テープなど、他の容易に除去できる絶縁材料で構成することができる。取扱い基板68は、層転写処理を含め、当業者に周知の在来技法を用いて形成できる。
次に、半導体オン・インシュレータの底部は、存在する場合、前述したように除去することができ、存在しなければ、次いで絶縁体層52Aを、熱成長または、例えば、CVD、PECVD、および化学溶液堆積などの堆積処理を用いて形成することができる。
次に、スルー・ビア70は、半導体基板52を貫通し、相互接続誘電体材料65の少なくとも部分を貫通して形成することができる。スルー・ビア70の一つの端部は、導電機能すなわち66Rの少なくとも一つの水平面と接触しており、一方、別の端部は基板52の最底部の表面に配置される。
スルー・ビア70は、導電機能28Lおよび28Rに関して前述した伝導性材料の一つを含み、該ビアは、リソグラフィおよびエッチングを用いて形成され、その後に、リソグラフィおよびエッチング処理によって生成されたビアの開口内に伝導性材料が形成される。スルー・ビア70の伝導性材料には、導電機能28Lおよび28Rに関して前述した伝導性材料の一つで構成することができる。スルー・ビア70の伝導性材料は、導電機能28Lおよび28Rに関して前述した技法の一つを使って形成できる。CMPなどの平坦化処理を使って平坦な構造体を得ることができる。
スルー・ビア70を形成した後、絶縁体層52Aの表面上にCu接合パッド72が形成される。図示のようにCu接合パッド72の一つはスルー・ビア70の一端部と接触している。本開示のこの実施形態では、Cu接合パッド72はパターン取りされている。いくつかの実施形態では、パターン取りなしCu接合パッド(図示せず)を使うことができる。さらに、本開示の別の実施形態では、少なくともCu接合パッド72の表面上に別の金属接着層を形成することもできる。この実施形態は、本開示の図面中には示されていない。少なくともCu接合パッド72上に別の金属接着層が形成される場合、その別の金属接着層を形成して金属接着層32に関して前述したような材料を包含させることができる。この別の金属接着層は、金属接着層32の金属または金属合金と同一にすることも異ならせることもできるが、通常は同一である。
図5を参照すると、2つのデバイス・ウエハ10と50とを相互に緊密に接触させ、2つのウエハを、各Cu接合パッド72のCu表面を各Cu接合パッド30のCu表面の上にほぼ垂直にそろえて整合し、次いで400℃未満の温度で接合した後の、図4の2つのデバイス・ウエハ10および50が示されている。別の実施形態では、この接合は50℃〜375℃の温度で行われる。
いくつかの実施形態において、この接合処理の間、人の手、万力または他の類似の機械的手段により供される力など、外部の力をこの2つのデバイス・ウエハに加えることができる。別の実施形態では、接合処理の間、2つのデバイス・ウエハに外部の力は加えられない。
この接合は、共に接合される2つのデバイス・ウエハの接合に対し不活性な環境で行うことができる。一つの実施形態において、この接合は、例えば、He、Ar、Ne、Xe、またはこれらの混合ガスを含め、不活性ガス中で行われる。別の実施形態では、接合処理の間の環境として窒素が使用可能である。窒素は単独で使うことも、前述の不活性ガスの一つと混合して使うこともできる。別の実施形態において、接合処理の間の環境として、フォーミング・ガス(すなわち、水素(モル分率は変わる)と窒素との混合ガス)を用いることができる。
接合の過程で、Cu接合パッド上に位置する金属接着層32は、2つのCu表面から酸素原子を得て、Cu接合パッド30のCu表面とCu接合パッド72の別のCu表面との間に金属酸化物接合層74を形成する。これにより、接合後のCu接合パッド30およびCu接合パッド72は、接合が行われる前のCu接合パッドに比べて、その中により少ない酸素を有する。本開示において、用いられた金属接着層の種類および接合処理条件の如何により、Cu接合パッド30およびCu接合パッド72の各々で50〜99%の酸素の低減が達成可能である。
しかして、金属酸化物接合層74は、金属または金属合金と酸素とを含む。金属酸化物接合層74は、Cu接合パッド30のCu表面とCu接合パッド72のCu表面との間に配置され、Cu接合パッド30およびCu接合パッド72のエッジに垂直に整合されて示されている。金属酸化物接合層74は、初期の金属接着層の厚さおよびCu接合パッドに元々包含されていた酸素の量の如何により、典型的には、0.3nm〜100nmの範囲の厚さを有し、1nm〜10nmの厚さであればさらに典型的である。本開示では、上記の厚さの範囲より大きい、または小さい他の厚さも用いることもできる。いくつかの実施形態において、金属酸化物接合層74は単一層構造である。別の実施形態では、金属酸化物接合層74は多層構造である。金属酸化物接合層74が多層構造の場合、多層構造の各層は、同じ組成または相異なる組成とすることができる。
ここで図6を参照すると、第二デバイス・ウエハ50から取扱い基板68を除去した後の、図5の接合された2つのデバイス・ウエハが示されている。一つの実施形態において、取扱い基板68は、例えば、取扱い基板が炭化水素ベースの場合はOプラズマなど、取扱い基板がSiNの場合は熱リン酸などの選択エッチング処理を用いて除去することができる。別の実施形態において、化学機械平坦化もしくは研磨またはその両方を使って、取扱い基板68を接合された構造体から除去することができる。
図6に示された構造体の上にさらなるデバイス・ウエハを積み重ねることができ、前述した基本処理ステップを用いて、該さらなるデバイス・ウエハと接合構造体との間の接合を実現することができる。かくして、垂直に順に積み重ねられた複数のデバイス・ウエハを含む3D集積回路を形成することができる。
ここで、本開示の別の実施形態を示している図7〜図11を参照する。図7〜図11に示されたこの実施形態は、パターン取りなしCu接合パッドが用いられることを除いて、上記図2〜図6に示された実施形態と類似である。
まず、図7を参照すると、本開示の一つの実施形態において用いることの可能な、パターン取りなしCu接合パッド80を含む第一デバイス・ウエハ10が示されている。具体的には、第一デバイス・ウエハ10は、図2のパターン取りされたCu接合パッド30がパターン取りなしCu接合パッド80に置き換えられていることを除いて、図2に示された第一デバイス・ウエハ10と同じコンポーネントを含む。パターン取りなしCu接合パッド80は、パターン取りされたCu接合パッド30の形成に関して前述した堆積処理を用いて形成することができる。Cu接合パッドのブランケット層の堆積の後で、該ブランケットCu接合パッドのパターン取りは行われない。このパターン取りなしCu接合パッド80の材料および厚さは、パターン取りされたCu接合パッド30に関して前述したものと同じである。
ここで図8を参照すると、第一デバイス・ウエハのパターン取りなしCu接合パッド80上に金属接着層82を形成した後の、図7の第一デバイス・ウエハが示されている。金属接着層82を形成して、金属接着層32に関して前述した材料および厚さを含めることができる。
図9を参照すると、図8に示された第一デバイス・ウエハ10の上方に位置付けられた、別のパターン取りなしCu接合パッド84を含む第二デバイス・ウエハ50が示されている。第二デバイス・ウエハ50は、パターン取りされたCu接合パッド72がパターン取りなしCu接合パッド84に置き換えられていることを除いて、前述した(図4の)第二デバイス・ウエハ50と同じエレメントを含む。パターン取りなしCu接合パッド84は、パターン取りされたCu接合パッド30に関して前述した堆積処理を用いて形成される。Cu接合パッドのブランケット層の堆積の後で、該ブランケットCu接合パッドのパターン取りは行われない。このパターン取りなしCu接合パッド84の材料および厚さは、パターン取りされたCu接合パッド30に関して前述したものと同じである。
ここで図10を参照すると、400℃未満の温度で接合された後の、図9の2つのデバイス・ウエハが示されている。図5に示された接合構造体の形成に関連して説明した接合処理における他の詳細および実施形態は、ここで図10に示された接合構造体の形成のためにも使うことができる。前述の実施形態と違って、金属酸化物接合層74は、パターン取りなしCu接合パッド80とパターン取りなしCu接合パッド84との間に切れ目なく存在している。前述の実施形態と同様に、接合の後のパターン取りなしCu接合パッド80およびパターン取りなしCu接合パッド84は、接合の前のパターン取りなしCu接合パッド80およびパターン取りなしCu接合パッド84と比べて、その中により少ない酸素を有する。
ここで図11を参照すると、第二デバイス・ウエハ50から取扱い基板68を除去した後の、図10の2つの接合されたデバイス・ウエハが示されている。取扱い基板68を除去するための詳細は、本開示の前述の実施形態で述べたものと同じである。
図11に示された接合構造体の上にさらなるデバイス・ウエハを積み重ねることができ、前述した基本処理ステップを用いて、該さらなるデバイス・ウエハと接合構造体との間の接合を実現することができる。かくして、垂直に順に積み重ねられた複数のデバイス・ウエハを含む3D集積回路を形成することができる。
ここで図12〜図15を参照すると、本開示の別の実施形態が示されている。本開示のこの実施形態は、まず、第一デバイス・ウエハ10および第二デバイス・ウエハ10’を用意することから開始される。第一デバイス・ウエハ10のコンポーネントは、図2に示された第一デバイス・ウエハ10中に存在するものと類似である。第二デバイス・ウエハ10’のコンポーネントも、図2に示された第一デバイス・ウエハ10に関して前述したものと同じである。第二デバイス・ウエハ10’のコンポーネントを識別するために主な指定(prime designation)が用いられるが、それでもなお、第一デバイス・ウエハ10のコンポーネントと同等である。
ここで図13を参照すると、少なくとも一つのデバイス・ウエハのパターン取りされたCu接合パッドの上に少なくとも一つの金属接着層32が形成された後の、図12の2つのデバイス・ウエハ10および10’が示されている。いくつかの実施形態では、別の金属接着層32を両方のデバイス・ウエハに形成することができる。この少なくとも一つの金属接着層32は、図3に示された構造体に関連して前述したものと同じである。
ここで図14を参照すると、デバイス・ウエハの一つ(すなわち、デバイス・ウエハ10’)を反転し、第一デバイス・ウエハ10のパターン取りされたCu接合パッド30を第二デバイス・ウエハ10’のパターン取りされたCu接合パッド30’に整合した後の、図13の2つのデバイス・ウエハ10および10’が示されている。この反転は、デバイス・ウエハの一つ、すなわち第二デバイス・ウエハ10’を180°回転させることによって実現される。
図15を参照すると、400℃未満の温度で接合した後の、図14の2つのデバイス・ウエハ10および10’が示されている。図5に示された接合構造体の形成に関連して説明した接合処理の他の詳細および実施形態は、ここで図15に示された接合構造体の形成のためにも使うことができる。
図15に示された接合構造体の上にさらなるデバイス・ウエハを積み重ねることができ、前述した基本処理ステップを用いて、該さらなるデバイス・ウエハと接合構造体との間の接合を実現することができる。かくして、垂直に順に積み重ねられた複数のデバイス・ウエハを含む3D集積回路を形成することができる。
ここで図16を参照すると、金属接着層を形成するのに選択的堆積処理が使われていることを除き、図2〜図6に示された基本的処理ステップを使って形成できる3D集積回路が示されている。これにおいては、金属接着層は、第一および第二デバイス・ウエハのいずれの相互接続誘電体材料にも接触することはない。選択的堆積は、Cu/誘電体パターンの未処理の表面上または改修された表面上への化学気相堆積、または原子層堆積によって実現することができる。典型的な例は、化学気相堆積処理によるMnまたはCoの選択的堆積である。
ここで図17を参照すると、金属接着層を形成するのに選択的堆積処理が使われていることを除き、図12〜図15に示された基本的処理ステップを使って加工できる3D集積回路が示されている。これにおいては、金属接着層は、第一および第二デバイス・ウエハのいずれの相互接続誘電体材料にも接触することはない。選択的堆積は、Cu/誘電体パターンの未処理の表面上または改修された表面上への化学気相堆積、または原子層堆積によって実現することができる。典型的な例は、化学気相堆積処理によるMnまたはCoの選択的堆積である。
本開示のいくつかの実施形態において、上記の処理ステップを使って、一つのデバイス・ウエハのパターン取りなしのCu接合パッドを、別のデバイス・ウエハの少なくとも一つのパターン取りされたCu接合パッドに接合することができる。
本開示を、その好適な実施形態に関連させて具体的に示し説明してきたが、当然のことながら、当業者は本開示の精神および範囲から逸脱することなく、形態および詳細における前述のまたは他の変更を加えることが可能である。従って、本開示は、説明され提示された通りの形態および詳細に限定されるものでなく、添付の請求項の範囲に含まれることが意図されている。
12 半導体基板
14 絶縁領域
16L ソース/ドレイン領域
16R ソース/ドレイン領域
20 ゲート誘電体
22 ゲート導体
24 スペーサ
26 相互接続レベル
27 相互接続誘電体材料
28L 導電機能
28R 導電機能
29 ビア
30 パターン取りされたCu接合パッド
32 金属接着層
52 半導体基板
52A 絶縁体層
52B 半導体デバイス層
54 絶縁領域
56L ソース/ドレイン領域
56R ソース/ドレイン領域
60 ゲート誘電体
62 ゲート導体
63 スペーサ
64 相互接続レベル
65 相互接続誘電体材料
66L 導電機能
66R 導電機能
70 スルー・ビア
72 Cu接合パッド
74 金属酸化物接合層

Claims (25)

  1. 三次元(3D)集積回路を形成する方法であって、前記方法は、
    Cu表面を含む第一デバイス・ウエハを用意するステップと、
    前記第一デバイス・ウエハの少なくとも前記Cu表面上に金属接着層を形成するステップと、
    別のCu表面を含む第二デバイス・ウエハを、前記第一デバイス・ウエハの前記金属接着層上で且つ前記Cu表面の上に位置付けるステップと、
    前記第一および第二デバイス・ウエハを400℃未満の温度で共に接合するステップと、
    を含み、
    前記金属接着層は、前記の2つのCu表面から酸素原子を得て、前記第一デバイス・ウエハの前記Cu表面と前記第二デバイス・ウエハの前記別のCu表面との間に金属酸化物接合層を形成する、
    前記方法。
  2. 前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はCu接合パッドであり、各Cu接合パッドはパターン取りされている、請求項1に記載の方法。
  3. 前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はCu接合パッドであり、各Cu接合パッドはパターン取りされていない、請求項1に記載の方法。
  4. 前記金属接着層を前記形成するステップは、非選択的堆積処理を含む、請求項1に記載の方法。
  5. 前記金属接着層を前記形成するステップは、選択的堆積処理を含む、請求項1に記載の方法。
  6. 前記金属接着層を前記形成するステップは、Mn、Ta、Ti、Co、W、Ru、Ni、またはMn、Ta、Ti、Co、W、Ru、およびNiから選択された2つ以上の金属の組み合わせを含む合金、の少なくとも一つを選択するステップを含む、請求項1に記載の方法。
  7. 前記金属酸化物接合層は、前記各Cu接合パッドの側壁と垂直的に一致する、請求項2に記載の方法。
  8. 前記第一デバイス・ウエハは電界効果トランジスタを含み、前記第二デバイス・ウエハは別の電界効果トランジスタを含む、請求項1に記載の方法。
  9. 前記少なくとも一つのCu表面は、相互接続レベルの最上面の上に配置され、前記相互接続レベルは、複数の導電機能が中に配置されている少なくとも一つの相互接続誘電体材料を含み、前記導電機能の少なくとも一つは、前記第一デバイス・ウエハの前記Cu表面の最底部と接触している、請求項1に記載の方法。
  10. 接合ステップの前に、前記デバイス・ウエハの少なくとも一つを反転するステップをさらに含む、請求項1に記載の方法。
  11. 前記金属接着層の一部が、前記デバイス・ウエハの少なくとも一つの上に残存する、請求項1に記載の方法。
  12. 前記金属接着層が多層構造体を含み、前記金属酸化物接合層が多層構造体を含む、請求項1に記載の方法。
  13. Cu表面を含む第一デバイス・ウエハと、別のCu表面を含む第二デバイス・ウエハとの垂直スタックであって、金属酸化物接合層が、前記第一デバイス・ウエハの前記Cu表面と前記第二デバイス・ウエハの前記別のCu表面との間に配置される、前記垂直スタック、
    を含む三次元(3D)集積回路。
  14. 前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はCu接合パッドであり、各Cu接合パッドはパターン取りされている、請求項13に記載の3D集積回路。
  15. 前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はCu接合パッドであり、各Cu接合パッドはパターン取りされていない、請求項13に記載の3D集積回路。
  16. 前記金属酸化物接合層は、前記Cu接合パッドの各々の側壁と垂直的に一致する、請求項13に記載の3D集積回路。
  17. 金属接着層の一部が、前記デバイス・ウエハの少なくとも一つの上に残存する、請求項13に記載の3D集積回路。
  18. 前記金属接着層は、Mn、Ti、Ta、Co、W、Ru、およびNi、またはMn、Ta、Ti、Co、W、Ru、およびNiから選択された2つ以上の金属の組み合わせを含む合金、の一つを含む、請求項17に記載の3D集積回路。
  19. 前記金属酸化物接合層は、Mn、Ti、Ta、Co、W、Ru、およびNi、またはMn、Ta、Ti、Co、W、Ru、およびNiから選択された2つ以上の金属の組み合わせを含む合金、の少なくとも一つの酸化物を含む、請求項13に記載の3D集積回路。
  20. 前記第一デバイス・ウエハは電界効果トランジスタを含み、前記第二デバイス・ウエハは別の電界効果トランジスタを含む、請求項13に記載の3D集積回路。
  21. 少なくとも一つのCu表面は、相互接続レベルの最上面の上に配置され、前記相互接続レベルは、複数の導電機能が中に配置されている少なくとも一つの相互接続誘電体材料を含み、前記導電機能の少なくとも一つは、前記第一デバイス・ウエハの前記Cu表面の最底部と接触している、請求項13に記載の3D集積回路。
  22. 前記第一デバイス・ウエハは、少なくとも一つのデバイスが中に少なくとも部分的に配置されている半導体基板と、前記半導体基板の上に配置された相互接続レベルとを含み、前記相互接続レベルは、少なくとも一つの相互接続誘電体材料内に内蔵された複数の導電機能を含み、導電領域が前記複数の導電機能の一つを前記Cu表面に接続している、請求項13に記載の3D集積回路。
  23. 前記第二デバイス・ウエハは、底部から最上部に向けて、絶縁体層と、半導体デバイス層と、前記半導体デバイス層に少なくとも部分的に配置された少なくとも一つ他のデバイスと、別の相互接続レベルとを含み、前記別の相互接続レベルは、少なくとも一つの他の相互接続誘電体材料内に内蔵された複数の他の導電機能を含み、スルー・ビアが、前記他の導電機能の少なくとも一つを前記別のCu表面の最底部に接続しており、前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はパターン取りされたCu接合パッドである、請求項22に記載の3D集積回路。
  24. 前記第二デバイス・ウエハは、少なくとも一つの他のデバイスが中に少なくとも部分的に配置されている別の半導体基板と、前記別の半導体基板の上に配置された別の相互接続レベルとを含み、前記別の相互接続レベルは、少なくとも一つの他の相互接続誘電体材料内に内蔵された複数の他の導電機能を含み、前記別の半導体基板は前記少なくとも一つの他のデバイスの上に配置され、前記第一デバイス・ウエハの前記Cu表面および前記第二デバイス・ウエハの前記別のCu表面はパターン取りされたCu接合パッドである、請求項22に記載の3D集積回路。
  25. 前記金属接着層が多層構造体であり、前記金属酸化物接合層が多層構造体である、請求項17に記載の3D集積回路。
JP2012197293A 2011-11-03 2012-09-07 強化された銅−銅接合を有する三次元(3d)集積回路およびその形成方法 Pending JP2013098541A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/288645 2011-11-03
US13/288,645 US8431436B1 (en) 2011-11-03 2011-11-03 Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding

Publications (1)

Publication Number Publication Date
JP2013098541A true JP2013098541A (ja) 2013-05-20

Family

ID=48129099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012197293A Pending JP2013098541A (ja) 2011-11-03 2012-09-07 強化された銅−銅接合を有する三次元(3d)集積回路およびその形成方法

Country Status (4)

Country Link
US (2) US8431436B1 (ja)
JP (1) JP2013098541A (ja)
CN (1) CN103094138B (ja)
DE (1) DE102012219171B4 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594476B2 (en) 2020-09-15 2023-02-28 Kabushiki Kaisha Toshiba Plurality of leads between MOSFET chips

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP2012204501A (ja) * 2011-03-24 2012-10-22 Sony Corp 半導体装置、電子デバイス、及び、半導体装置の製造方法
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US9000557B2 (en) * 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8772146B2 (en) * 2012-08-28 2014-07-08 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
EP2994935A1 (de) 2013-07-05 2016-03-16 EV Group E. Thallner GmbH Verfahren zum bonden von metallischen kontaktflächen unter lösen einer auf einer der kontaktflächen aufgebrachten opferschicht in mindestens einer der kontaktflächen
US9202788B2 (en) * 2013-10-02 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9536848B2 (en) * 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US10355036B2 (en) * 2015-03-03 2019-07-16 Sony Corporation Semiconductor device and electronic apparatus
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11152396B2 (en) * 2017-12-26 2021-10-19 Intel Corporation Semiconductor device having stacked transistors and multiple threshold voltage control
EP3525232A1 (en) * 2018-02-09 2019-08-14 Nexperia B.V. Semiconductor device and method of manufacturing the same
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
FR3101726B1 (fr) * 2019-10-04 2021-10-01 Commissariat Energie Atomique procédé de fabrication d’un dispositif électronique
US11676940B2 (en) * 2020-08-12 2023-06-13 Advanced Micro Devices, Inc. Hybrid bonded interconnect bridging

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009098465A (ja) * 2007-10-17 2009-05-07 Seiko Epson Corp 偏光板、画像表示装置
JP2009158866A (ja) * 2007-12-27 2009-07-16 Seiko Epson Corp 半導体装置および電子機器
JP2011159830A (ja) * 2010-02-01 2011-08-18 Osaka Univ 電子回路
JP2011166115A (ja) * 2010-11-22 2011-08-25 Napura:Kk 電子デバイス及びその製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218732B1 (en) * 1998-09-15 2001-04-17 Texas Instruments Incorporated Copper bond pad process
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
EP1489657A4 (en) * 2002-02-06 2011-06-29 Ibiden Co Ltd SEMICONDUCTOR CHIP MOUNTING PLATE, METHOD FOR THE PRODUCTION THEREOF AND SEMICONDUCTOR MODULE
US20050003652A1 (en) 2003-07-02 2005-01-06 Shriram Ramanathan Method and apparatus for low temperature copper to copper bonding
US7214605B2 (en) 2003-10-09 2007-05-08 Intel Corporation Deposition of diffusion barrier
US7576435B2 (en) * 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009098465A (ja) * 2007-10-17 2009-05-07 Seiko Epson Corp 偏光板、画像表示装置
JP2009158866A (ja) * 2007-12-27 2009-07-16 Seiko Epson Corp 半導体装置および電子機器
JP2011159830A (ja) * 2010-02-01 2011-08-18 Osaka Univ 電子回路
JP2011166115A (ja) * 2010-11-22 2011-08-25 Napura:Kk 電子デバイス及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594476B2 (en) 2020-09-15 2023-02-28 Kabushiki Kaisha Toshiba Plurality of leads between MOSFET chips

Also Published As

Publication number Publication date
DE102012219171A1 (de) 2013-05-08
US8431436B1 (en) 2013-04-30
CN103094138B (zh) 2015-07-22
DE102012219171B4 (de) 2023-10-12
US8546956B2 (en) 2013-10-01
US20130200520A1 (en) 2013-08-08
CN103094138A (zh) 2013-05-08
US20130113106A1 (en) 2013-05-09

Similar Documents

Publication Publication Date Title
JP2013098541A (ja) 強化された銅−銅接合を有する三次元(3d)集積回路およびその形成方法
US8658488B2 (en) Method for forming semiconductor chip with graphene based devices in an interconnect structure of the chip
US10236255B2 (en) Contact having self-aligned air gap spacers
US7719035B2 (en) Low contact resistance CMOS circuits and methods for their fabrication
US8252692B2 (en) Semiconductor device and method of fabricating the same
US11901295B2 (en) Dielectric film for semiconductor fabrication
US20070284662A1 (en) Microelectronic structure including high current density resistor
US8637993B2 (en) 3D integrated circuit system with connecting via structure and method for forming the same
CN113517276A (zh) 半导体结构及其形成方法
TW202002169A (zh) 半導體裝置的製造方法
JP2011101020A (ja) 集積回路および集積回路の製造方法
TWI762301B (zh) 積體電路裝置及其製造方法
US20230120499A1 (en) Source/Drain Contacts and Methods of Forming Same
US11915972B2 (en) Methods of forming spacers for semiconductor devices including backside power rails
KR102024971B1 (ko) 반도체 디바이스 및 그 제조 방법
KR20220027742A (ko) 후면 전력 레일을 구비한 반도체 디바이스 및 그 방법
US20220367241A1 (en) Spacers for Semiconductor Devices Including Backside Power Rails
US20220416035A1 (en) Structure and formation method of semiconductor device with backside contact

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150626

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20150626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150821

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160802

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170328