CN103578969A - 制造包括介电结构的半导体器件的方法 - Google Patents

制造包括介电结构的半导体器件的方法 Download PDF

Info

Publication number
CN103578969A
CN103578969A CN201310333223.5A CN201310333223A CN103578969A CN 103578969 A CN103578969 A CN 103578969A CN 201310333223 A CN201310333223 A CN 201310333223A CN 103578969 A CN103578969 A CN 103578969A
Authority
CN
China
Prior art keywords
dielectric layer
cavity
cmp
mechanical polishing
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310333223.5A
Other languages
English (en)
Other versions
CN103578969B (zh
Inventor
M.J.哈特尔
P.S.科赫
D.施勒格尔
G.施密特
R.施特拉泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Austria AG
Original Assignee
Infineon Technologies Austria AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Austria AG filed Critical Infineon Technologies Austria AG
Publication of CN103578969A publication Critical patent/CN103578969A/zh
Application granted granted Critical
Publication of CN103578969B publication Critical patent/CN103578969B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本发明涉及制造包括介电结构的半导体器件的方法。介电层沉积在衬底的工作表面上,其中介电层包含聚合物或由聚合物构成。部分地固化所述介电层。使用化学机械抛光工艺去除所述部分地固化的介电层的一部分。然后继续固化所述部分地固化的介电层的剩余部分以形成介电结构。所述部分地固化的介电层在化学机械抛光期间示出高去除速率。利用提供在空腔中的所述介电层的剩余部分,可以高效的方式提供高体积绝缘结构。

Description

制造包括介电结构的半导体器件的方法
技术领域
本发明涉及制造包括介电结构的半导体器件的方法。
背景技术
半导体器件包括延伸到半导体衬底中的绝缘结构。绝缘结构可通过将空腔刻蚀到半导体衬底中并用绝缘材料如介电聚合物填充该空腔来形成。可使用常规方法来填充空腔多达几微米的宽度。
希望提供进一步的提供具有介电结构的半导体器件的方法。
发明内容
根据涉及制造半导体器件的方法的实施例,介电层沉积在衬底的工作表面上,其中该介电层包括介电聚合物。介电层被部分地固化。部分地固化的介电层的一部分使用化学机械抛光工艺去除。部分地固化的介电层的剩余部分被进一步固化以形成介电结构。
根据另一个实施例,从工作表面延伸到衬底中的空腔被形成。填充空腔的介电层被沉积。该介电层包括介电聚合物。介电层被部分地固化。部分地固化的介电层在空腔外部的部分使用化学机械抛光工艺去除。部分地固化的介电层的剩余部分被进一步固化以形成介电结构。
本领域技术人员在阅读下面的详细描述并且看到附图后将认识到额外的特征和优点。
附图说明
附图被包括以提供对本发明的进一步理解并且被合并到本说明书中以及组成本说明书的一部分。附图图示了本发明的实施例并且与描述一起用来解释本发明的原理。本发明的其它实施例和预期的优点将容易地被理解,因为参照下面的详细描述它们变得更好理解。附图的元件相对于彼此不一定成比例。相似的参考数字指定对应类似的部分。
图1A是包括空腔的半导体衬底的示意剖视图,用于图示根据实施例的制造半导体器件的方法。
图1B是在提供辅助层之后的图1A的半导体衬底的示意剖视图。
图1C是在沉积包含介电聚合物或由介电聚合物构成的介电层之后的图1B的半导体衬底的示意剖视图。
图1D是在化学机械抛光介电层、构造辅助层和提供金属化层之后的图1C的半导体衬底的剖视图。
图2是图示作为介电聚合物的固化水平的函数的去除速率的示意图。
图3是图示作为热预算的函数的固化水平的示意图。
图4是图示涉及网格状空腔的实施例的半导体衬底的一部分上的示意俯视图。
图5是从图4的半导体衬底得到的半导体器件的示意剖视图。
图6A是包括空腔的半导体衬底的示意剖视图,用于图示根据在进一步固化之前提供介电聚合物的凹洼或“凹陷”的实施例的制造半导体器件的方法。
图6B是在抛光之后的图6A的半导体衬底的示意剖视图。
图6C是在进一步固化介电聚合物之后的图6B的半导体衬底的剖视图。
图7A是包括空腔的半导体衬底的示意剖视图,用于图示根据在进一步固化已抛光的部分地固化的介电层的介电聚合物之后提供进一步化学机械抛光工艺的实施例的制造半导体器件的方法。
图7B是在进一步固化已抛光的部分地固化的介电层的介电聚合物之后的图7A的半导体衬底的剖视图。
图7C是在抛光进一步固化的介电聚合物之后的图7B的半导体衬底的剖视图。
图8A是包括空腔的半导体衬底的示意剖视图,用于图示根据在第一化学机械抛光工艺之后提供多步骤抛光工艺的实施例的制造半导体器件的方法。
图8B是在进一步固化已抛光的部分地固化的介电层的介电聚合物之后的图8A的半导体衬底的剖视图。
图8C是在进一步化学机械抛光工艺之后的图8B的半导体衬底的剖视图。
图9A是根据另一个实施例的制造半导体器件的方法的示意流程图。
图9B是根据进一步实施例的提供关于针对抛光工艺的替代方案的综览的示意流程图。
具体实施方式
在下面的详细描述中,参照形成本描述一部分的附图,在其中通过图示的方式示出了可实践本发明的具体实施例。要理解的是,在不脱离本发明范围的情况下,可利用其它实施例并且可进行结构或逻辑上的改变。例如,针对一个实施例图示或描述的特征可用在其它实施例上或与其它实施例联合使用以产生再进一步的实施例。意图是本发明包括这样的修改和变化。使用具体语言描述实例,这不应当解释为限制所附权利要求的范围。附图不是按比例的并且仅用于说明性的目的。为了清楚,如果没有另外的说明,在不同附图中相同的元件或制造工艺已由相同的参考指定。
术语“具有”、“含有”、“包含”、“包括”等等是开放的并且这些术语指示所说明的元件或特征的存在但并不排除额外的元件或特征。冠词“一”、“一个”和“该”旨在包括复数以及单数,除非上下文另外清楚地指示。
图1A示出衬底100,其包括半导体衬底120,一个半导体元件105的至少部分形成在半导体衬底120中。半导体衬底120可是预加工的单晶半导体衬底,例如单晶硅晶片,SiC、GaN、GaAs晶片或绝缘体上硅晶片。半导体衬底120可包括掺杂和无掺杂的区段、外延半导体层和先前制作的绝缘结构。在下面中,半导体衬底120被称为半导体衬底,不论任何非半导体部分的存在。
半导体元件105可是二极管或晶体管,例如功率场效应晶体管或举例来说由设置在单元阵列中的多个晶体管单元形成的IGBT(绝缘栅双极晶体管)。半导体衬底120可包括形成逻辑电路、驱动器电路、处理器电路或存储器电路的进一步半导体元件105。在图示的实施例中,半导体元件105是具有高p掺杂的阳极区122和n掺杂的中心区125的二极管。衬底100可包括提供在半导体衬底120的第一表面121上的进一步层。
光刻工艺可被用于图案化硬掩膜(例如来自TEOS(正硅酸乙酯))。使用硬掩膜作为刻蚀掩膜,可形成从衬底100的工作表面101延伸到衬底100中的至少一个空腔102。根据实施例,空腔102延伸到半导体衬底120中。由刻蚀工艺引起的在晶体结构中的损害可由在半导体衬底120上形成牺牲氧化物的热氧化工艺修复。在提供空腔用于形成边缘终止结构的地方,受主通过空腔102的底部和/或侧壁可被注入并且受主被激活以增加在边缘区域中的阻断能力。
其它实施例可提供从衬底100的工作表面101延伸到提供在半导体衬底120的第一表面121以上的一个或多个层中的空腔102。空腔102可形成在衬底100的工作表面101和半导体衬底120的第一表面121之间或可延伸到半导体衬底120中。例如,衬底100包括半导体衬底120和在半导体衬底120的第一表面121的第一部分中的进一步层。该进一步层可使第一表面121的第二部分不被覆盖以形成一个或多个空腔102。
空腔102可具有至少10微米的宽度,例如至少50微米。根据实施例,空腔102的宽度至少是60微米。空腔102的深度可至少是10微米,例如至少50微米。根据实施例,空腔102的深度至少是70微米。根据另一个实施例,空腔102可是一个孔,其中孔的开口可具有带有或不带有圆角的大约圆形或多边形的开口,例如六边形、矩形或方形开口。根据另一个实施例,空腔102是长度显著大于其宽度的槽状结构。在空腔102的侧壁和工作表面101之间的倾斜角α,β可具有0o和180o之间的值,例如60o。根据实施例,倾斜角α,β可大约为90o。根据进一步的实施例,空腔102是圆周槽并且环绕形成于半导体衬底120的台面部分中的元件区域中的(一个或多个)半导体元件,其中台面部分被分配给单个半导体管芯。在芯片边缘和空腔102之间的芯片区域的外围区中可提供n+掺杂的场停止层123。
根据其它实施例,空腔102形成在衬底100的切口区域中,在切口区域处衬底100被锯开或被激光或等离子切割以从衬底100得到多个半导体管芯。
图1B示出辅助层161,其以保形的方式沉积在包括空腔102的工作表面101上。辅助层161装衬空腔102。半导体表面的预处理以及辅助层或钝化层的沉积可以保证表面态的密度变得足够小的方式来完成。辅助层161可是阻断离子扩散进入或离开半导体衬底120的扩散阻挡层,或者是支持在后面沉积在半导体衬底120上的材料附着的附着层。辅助层161也可有效的作为在后面的刻蚀或CMP(化学机械抛光)停止层。根据实施例,辅助层161是单个层或者是层堆叠并且包括无定形类金刚石碳层、碳化硅层、氧化硅层和氮化硅层中的至少一个。在沉积在辅助层161上或辅助层161以上的层是光敏的情况下,在层的曝光期间,辅助层161可起抗反射涂层的作用。与在半导体衬底120的第一表面121以上的层中的空腔102相关的实施例也可提供辅助层161以装衬空腔102。
如图1C所示,介电层169沉积在工作表面101上,例如在辅助层161上。为保证介电层169的良好附着,工作表面101或辅助层161可以适当的方式进行预处理。例如,在预处理步骤中,附着促进剂可沉积在工作表面101上或辅助表面161上。沉积的介电层169填充空腔102。介电层169可包括介电聚合物或由介电聚合物构成,介电聚合物如苯并环丁烯、聚降冰片烯、聚苯乙烯、聚碳酸酯、聚对二甲苯基、环氧树脂、硅玻璃或硅树脂。
根据实施例,介电层169可包括不具有任何添加剂或包含一个或多个添加剂的苯并环丁烯、聚降冰片烯、聚苯乙烯或聚碳酸酯的一个或多个。根据其它实施例,介电层169可包含(举例来说)硅。根据实施例,介电聚合物包含苯并环丁烯BCB作为主要组分。BCB示出仅仅低收缩率,是有效的湿气阻挡层,并且示出高介电场强度和高热稳定性两者。例如,介电聚合物是作为CycloteneTM散布的产品之一。
介电层169可使用印刷工艺(例如丝网印刷、模板印刷或喷墨印刷)或通过使用一步骤或多步骤旋涂工艺来沉积。对于多步骤旋涂工艺,可旋涂第一子层,并且在旋涂和部分地固化进一步的子层之前至少部分地固化第一子层。
空腔102的无空隙和完全填充通常导致过量的材料沉积在工作表面101上。例如,对于在5mm×5mm的芯片尺寸上填充具有大约60微米宽度和大约70微米深度的圆周空腔102,一些20到30微米的过量材料沉积在有源芯片区域中,其中靠近芯片边缘区域,空腔102的填充减小过量材料的厚度。
沉积的介电层169的过量材料的至少一部分使用化学机械抛光工艺去除,其中表面被平面化。根据实施例,过量材料被完全去除,使得介电层169的剩余仅保持在空腔102内。根据另一个实施例,介电层169被平面化并且变薄为具有预定厚度的绝缘层。绝缘层可使提供在绝缘层上的传导结构和提供在半导体衬底中的第一传导结构电绝缘。开口可形成在绝缘层中以电连接提供在绝缘层上的传导结构和提供在半导体衬底中的第二传导结构。
通常有机介电聚合物仅示出导致长处理时间的低抛光速率。例如,使用基于硅石的浆液,在250℃的温度完全固化的苯并环丁烯具有160-240nm/min(纳米/分钟)的去除速率。因此,去除20-30微米的过量材料需要1.5到2小时的处理时间。此外,必须每10分钟调节抛光垫,因为消融的材料粘合到抛光垫。
根据实施例,介电层169在执行化学机械抛光工艺之前仅部分地固化。根据实施例,部分固化提供至少40%和至多70%的固化水平。例如,部分固化提供至少50%的固化水平。根据另一个实施例,部分固化提供至多60%的固化水平。发明人可以示出对于充分固化的BCB、仅弱固化的BCB、和整个未固化的BCB,CMP的去除速率是相当低的。在固化水平40%和70%之间的小范围内,CMP去除速率显著增加,其中例如50%的固化水平指示50%的可用交联被实际地交联。
可使用红外光谱法来监视固化反应的程度。例如,对于CycloteneTM聚合物,可以估算在1500cm-1波数和在1475cm-1波数的吸收率以确定固化水平。
空腔102外部的部分地固化的介电层169的一部分使用化学机械抛光工艺去除。由于部分地固化的介电聚合物的去除速率高,20-30微米的过量层可在少于3分钟内去除。
在抛光之后,在空腔102内的介电层169的剩余部分可被进一步固化到多于80%的固化水平,例如接近100%。根据实施例,剩余部分可完全固化。然后,光刻工艺可被用于图案化辅助层161,具体来说,用于在提供金属化层的区中去除辅助层161以接触衬底100。可使用等离子刻蚀工艺来图案化辅助层161。根据与在半导体衬底120的第一表面121以上的一个或多个层中的空腔102相关的实施例,介电层169的剩余部分填充在衬底100的工作表面101和半导体衬底120的第一表面121之间的一个或多个层中的空腔102。
然后,可在衬底100的前侧上提供金属化层。可图案化金属化层以形成阳极金属化110并可选地形成边缘金属化112。在阳极电极110和边缘构造112之间,圆周绝缘结构160从在空腔102中的固化的介电层169形成。进一步介电层可被提供在工作表面101以上,例如从聚酰亚胺提供。根据另一个实施例,空腔102外部的介电层169的其它固化部分可形成进一步介电层。在背部,半导体衬底120可被变薄并且在变薄后可注入高掺杂的阴极层129。背部金属化可提供在高掺杂的阴极层129上。最后,通过锯开、激光切割或等离子切割,半导体衬底120可被分离成单个半导体器件。
图1D示出由如图1A至1C图示的工艺产生的功率二极管。根据图示的实施例,半导体衬底120是具有53Wcm比电阻的125微米厚度的n掺杂硅。P掺杂的阳极区122具有大约6微米的穿入深度和1×1017cm-3的表面掺杂浓度。在芯片边缘可提供沟道停止区域123。根据实施例,沟道停止区域123是电连接到n衬底的p+掺杂区域。根据另一个实施例,沟道停止区域123是n+掺杂的。在芯片边缘和圆周绝缘结构160的外边缘之间的沟道停止区域123可具有1×1018cm-3的表面掺杂浓度和大约6微米的深度。在半导体衬底120的背部上的n+阴极区129在2微米的宽度上可具有3.5×1015cm-3的掺杂浓度。根据另一个实施例,场停止区可直接邻接阴极区129提供,其具有1.3×1014cm-3的最大掺杂浓度和10微米的宽度。圆周绝缘结构160可具有60微米的宽度和70微米的深度,并且适合在反方向中阻断高于大约1830V的体积击穿电压。
在图2中的图用纳米每分钟给出作为苯并环丁烯的固化水平的函数的CMP去除速率。菱形给出由发明人得到的对于在0%、45%、48%、50%、55%、60%、70%和75%固化水平的实验结果。对实验结果应用高斯拟合算法得到曲线200。根据图,对于40%以下的低固化水平和70%以及更高的高固化水平两者,CMP去除速率低。在大约45%和65%之间的固化水平范围内,CMP去除速率显著增加。在至少50%和至多60%的固化水平可得到最高的CMP去除速率。在55%的固化水平,观测到17微米/分钟的CMP去除速率。固化水平是已交联的分子和可用分子总数之间的比率。CMP去除速率使用基于具有30%的固体含量并且ph值为2.5的KlebosolTM30HB50的浆液以8psi的下压力、67rpm的台速度和73rpm的载体速度来确定。
图3是给出作为沿纵坐标的所应用的摄氏度温度和沿横坐标的所应用的时间的函数的固化水平的图。对于图2中示出的实验结果,使用190℃的温度针对期望的固化水平需要的应用时间得到固化水平。例如,为得到大约50%的固化水平,半导体衬底以190℃回火一小时。
图4涉及空腔102在衬底100的工作表面中形成网格的实施例。网格沿切口区域延伸,切口区域被提供用于分离从相同的衬底100形成的半导体管芯150。在网格的网孔中,半导体元件105器件形成在半导体管芯150中。
图5涉及由使来自图4的衬底100的半导体管芯150单一化而产生的半导体管芯150。沿着半导体管芯150的边缘,圆周绝缘结构160围绕半导体元件形成于其中的半导体管芯150的中心区。前部金属化110形成在半导体管芯150的衬底100的工作表面101上并且背部金属化190形成在工作表面101相对侧的表面。辅助层161(例如类金刚石碳层)形成在衬底100和圆周绝缘结构160之间。
圆周绝缘结构160形成用于至少部分地在半导体管芯150的垂直深度中减少电场强度的边缘终止以便节省用于横向边缘终止的芯片空间。根据图示的实施例,圆周绝缘结构160的侧壁平行于边缘并且垂直于工作表面101。根据其它实施例,侧壁可具有关于工作表面101的倾斜角。举例来说,倾斜角可在25度和65度之间。虽然在图5中图示的实施例涉及沿着半导体管芯150的边缘的绝缘结构,但是如在图1D中图示的其它实施例提供相对边缘偏移的圆周绝缘结构160。根据其它实施例,圆周绝缘结构在芯片的边角上可具有圆形形状从而减少在这个区域中的过量电场强度。
图6A到6C涉及提供过度抛光部分地固化的介电层169的实施例。图6A示出沉积在辅助层161上并且填充在半导体衬底120中的空腔102的介电层169。介电层169被部分地固化。介电层169可包括介电聚合物或由介电聚合物构成,介电聚合物如苯并环丁烯、聚降冰片烯、聚苯乙烯、聚碳酸酯、聚对二甲苯基、环氧树脂、硅玻璃或硅树脂。例如,介电层169可包括不具有任何添加剂或包含一个或多个添加剂的苯并环丁烯、聚降冰片烯、聚苯乙烯或聚碳酸酯中的一个或多个。根据其它实施例,介电层169可包含,举例来说,硅。根据实施例,介电聚合物包含苯并环丁烯BCB作为主要组分。
空腔102可为靠近形成在半导体衬底120中的半导体管芯的芯片边缘提供的圆周空腔并且在5mm×5mm的芯片尺寸上可具有大约60微米的宽度和大约70微米的深度。一些20到30微米的过量材料沉积在有源芯片区域中以可靠地填充空腔102。靠近芯片边缘区域,空腔102的填充可局部地减小过量材料的厚度。
执行化学机械抛光工艺,其完全去除空腔102外部的过量材料并且使介电层169的剩余部分留在空腔102内。化学机械抛光工艺过度抛光在空腔102中的介电聚合物,使得在抛光工艺之后,在空腔102中的介电聚合物具有凹陷或凹洼表面162。选择“凹陷”的量(即表面162的凹洼程度)以补偿在空腔102中的介电聚合物的剩余部分在固化期间的膨胀。在空腔102中的介电层的剩余部分被进一步固化。
图6C示出在进一步固化之后的半导体衬底。因为已经选择“凹陷”的量以补偿介电聚合物在固化期间的膨胀,由进一步固化的在空腔102中的介电聚合物产生的绝缘结构160的表面大约是平的并且大约与辅助层161的表面齐平。
图7A到7C涉及提供多于一个化学机械抛光工艺的实施例。图7A对应于图6A。执行可去除多于过量材料的一半(例如至少90%)的第一化学机械抛光工艺。根据在图7B中示出实施例,在至少0.5微米(例如至少1微米和至多2微米)的余留过量材料168的厚度时终止第一抛光工艺。然后介电聚合物被进一步固化到至少90%的固化水平,例如大约100%。
图7B示出进一步固化的剩余过量材料168。在半导体衬底120的有源芯片区域中,剩余过量材料168的表面被平面化。在另一个方面,在进一步固化期间,介电聚合物可膨胀,使得在空腔102以上的剩余过量材料168中产生突起167。突起167可具有凸出形状或梯形形状。在70微米的空腔102深度时,突起167的高度可达到5微米。
突起167干扰由变薄的过量材料提供的剩余绝缘层的平面度。关于完全去除过量材料以使得介电层169的剩余部分仅保持在空腔102内的实施例,在随后的光刻工艺中突起167阻碍光刻胶的旋涂。光刻胶可累积在突起167附近,使得可产生不均匀的光刻胶层。不均匀的光刻胶层在厚区中不可完全地曝光。而且,不均匀的光刻胶层不可完全地封闭或可具有对随后的工艺(例如刻蚀或离子注入)来说太薄的部分。
执行进一步的化学机械抛光工艺以使突起167变平。根据实施例,进一步化学机械抛光工艺可完全地去除过量材料168以使得剩余介电聚合物专门填充空腔102。根据另一个实施例,进一步化学机械抛光工艺使过量材料平面化以使得过量材料168形成均匀厚度层。
进一步化学机械抛光工艺可以第一下压力开始并以第二不同的下压力结束。根据实施例,第一下压力可超过第二下压力至少第二下压力的25%。例如,第一下压力可是8psi而第二下压力可是6psi或更少,例如4psi或可具有4psi和6psi之间的任何其它值。
从开始值起始,朝向进一步化学机械抛光工艺的结束并且在抛光达到辅助层161或半导体衬底120之前,下压力可被连续地减小。进一步抛光工艺去除膨胀的介电聚合物的突起部分。
图7C示出半导体衬底120和在进一步抛光工艺之后产生的绝缘结构160。绝缘结构160的表面是平的,并且能避免由破坏来自介电聚合物的大块产生的空隙。
台和载体的旋转速度在第二化学机械抛光工艺期间可保持恒定。根据其它实施例,台和载体之间的第一相对旋转速度可用在进一步化学机械抛光工艺的起始,并且从第一相对旋转速度偏离至少较低值的百分之十的第二相对旋转速度可用在进一步化学机械抛光工艺的结束。
例如,载体和台之间的更高的相对旋转速度在抛光工艺的结束可减少缺陷密度并且可增强平面化。其它实施例可提供时变的旋转速度用于增加对从氮化硅、氮氧化硅或氧化硅提供的辅助层的选择性。普雷斯顿定律根据等式(1)给出了作为下压力P、载体和台之间的相对旋转速度v、普雷斯顿系数k和实验确定的系数α,β的函数的去除速率r:
(1)                                                       
Figure 691113DEST_PATH_IMAGE001
对于氮化硅和氧化硅,系数α,β大约是“1”,指示去除速率与下压力和相对旋转速度的大约线性相关性。另一方面,介电聚合物的去除速率受旋转速度影响仅到低程度。因此,对于介电聚合物(诸如BCB),化学腐蚀工艺优于机械腐蚀工艺,使得通过减少下压力以及台和载体之间的相对旋转速度可以增加介电聚合物(在一个方面)和氧化硅以及氮化硅(在另一个方面)之间的刻蚀选择性。
图8A到8C涉及具有完全去除图7A的介电层169在空腔102外部的部分的第一化学机械抛光工艺的实施例。化学机械抛光工艺可是多步骤工艺:在抛光工艺的起始具有第一下压力并且在抛光工艺的结束具有第二不同下压力,其中第一下压力可超过第二下压力至少第二下压力的25%。在化学机械抛光工艺的起始在台和载体之间的相对旋转速度与在化学机械抛光工艺的结束的相对旋转速度可有至少较低值的10%的差别以便提高刻蚀选择性。
图8A示出在空腔102内的图7a的介电层168的剩余部分。介电聚合物被进一步固化到例如至少90%的固化水平,例如大约100%。介电聚合物在固化工艺期间可膨胀几个百分比。
图8B示出形成突起167的在空腔102中的膨胀的聚合物。执行进一步化学机械抛光工艺以使突起167变平。
图8C示出在空腔102中形成绝缘结构160的变平的进一步固化的介电聚合物。
图9A涉及制造集成电路的方法。包含聚合物或由聚合物构成的介电层被提供在衬底的工作表面上(902)。介电层被部分地固化(904)。部分地固化的介电层的一部分通过化学机械抛光工艺去除(906)。部分地固化的介电层的剩余部分被进一步固化(908)。在沉积介电层之前可提供从工作表面延伸到衬底中的空腔,使得介电层的剩余部分在空腔中形成绝缘结构。
根据由图9B的右手分支图示的方法,部分地固化的介电层由一步骤或多步骤的化学机械抛光工艺处理(906a)。化学机械抛光工艺可或完全地或部分地去除在空腔外部的介电层部分并且可留下介电层的平表面。在进一步固化(908)期间,介电聚合物可膨胀并且可在空腔以上形成突起,该突起在进一步化学机械抛光工艺(910)中被去除,该进一步化学机械抛光工艺(910)可以包括两步骤处理以完成在进一步化学机械抛光工艺的起始的高去除速率和在进一步化学机械抛光工艺的结束的高去除选择性。
由图9B的左手分支图示的方法的化学机械抛光工艺(906b)从空腔外部完全去除部分地固化的介电层并且使在空腔内的介电聚合物的表面凹陷,产生填充空腔的介电聚合物的凹洼表面。可以确定凹陷的量(即凹洼的程度、量或深度)以补偿介电聚合物的膨胀。在进一步固化(908)期间,介电聚合物膨胀并且膨胀材料补偿凹陷,从而不用额外的抛光,在进一步固化之后产生由在空腔中的介电聚合物形成的绝缘结构的大约平的表面。
尽管具体的实施例在这里已经被图示和描述,但本领域普通技术人员将理解的是,在不脱离本发明范围的情况下,各种替代的和/或等价的实施方式可替换示出并描述的具体的实施例。本申请意图覆盖在这里讨论的具体实施例的任何适应或变化。因此,意图是本发明仅由权利要求及其等价物限定。

Claims (29)

1.一种制造半导体器件的方法,所述方法包括:
提供从工作表面延伸到衬底中的空腔;
在工作表面上提供介电层,所述介电层包括介电聚合物并填充所述空腔;
部分地固化所述介电层;
使用化学机械抛光工艺去除所述部分地固化的介电层的一部分;以及
进一步固化所述介电层的剩余部分以形成介电结构。
2.根据权利要求1的方法,其中所述介电层的剩余部分形成在空腔中。
3.根据权利要求1的方法,其中所述空腔具有至少10微米的宽度。
4.根据权利要求1的方法,其中所述空腔形成在所述衬底的切口区域中。
5.根据权利要求1的方法,其中所述空腔形成为相对所述衬底的切口区域偏移。
6.根据权利要求1的方法,其中所述空腔是围绕所述衬底的元件区域的圆周空腔。
7.根据权利要求1的方法,进一步包括在沉积所述介电层之前在所述工作表面上提供辅助层。
8.根据权利要求7的方法,其中所述辅助层是单个层或者是层堆叠并且包括从选自包含下列材料的组的材料提供的至少一个层:无定形类金刚石碳、碳化硅、氮化硅和氧化硅。
9.根据权利要求1的方法,其中所述部分地固化提供至少40%和至多70%的固化水平。
10.根据权利要求1的方法,其中所述部分地固化提供至少50%的固化水平。
11.根据权利要求1的方法,其中所述部分地固化提供至多60%的固化水平。
12.根据权利要求1的方法,其中所述聚合物是苯并环丁烯。
13.根据权利要求1的方法,其中所述聚合物包含硅。
14.根据权利要求1的方法,其中在所述化学机械抛光工艺期间使用酸性浆液。
15.根据权利要求1的方法,其中所述介电层的剩余部分的所述进一步固化提供至少80%的固化水平。
16.根据权利要求1的方法,其中所述介电聚合物包括添加剂。
17.根据权利要求1的方法,其中所述介电聚合物是CycloteneTM
18.根据权利要求1的方法,其中所述介电层的剩余部分形成在空腔中并且在所述工作表面以上。
19.根据权利要求1的方法,其中所述化学机械抛光工艺去除所述部分地固化的介电层在空腔外部的部分并且使介电层的剩余部分留在空腔内。
20.根据权利要求19的方法,其中化学机械抛光工艺使在空腔内的所述介电层的剩余部分的表面凹陷一定的量以补偿在所述空腔中的所述剩余部分的膨胀,使得在所述进一步固化之后,在所述空腔内的介电层的所述剩余部分的所述表面是平的。
21.根据权利要求19的方法,其中
在化学机械抛光工艺的起始施加的第一下压力超过在化学机械抛光工艺的结束施加的第二下压力至少所述第二下压力的25%。
22.根据权利要求19的方法,其中
在化学机械抛光工艺的起始使用台和载体之间的第一相对旋转速度并且在化学机械抛光工艺的结束使用第二相对旋转速度,所述第二相对旋转速度从所述第一旋转速度偏离至少较低值的10%。
23.根据权利要求19的方法,包括
在进一步固化所述介电层的所述剩余部分之后的进一步化学机械抛光工艺。
24.根据权利要求23的方法,其中
在所述进一步化学机械抛光工艺的起始施加的第一下压力超过在进一步化学机械抛光工艺的结束施加的第二下压力至少所述第二下压力的25%。
25.根据权利要求23的方法,其中
在进一步化学机械抛光工艺的起始使用台和载体之间的第一相对旋转速度并且在进一步化学机械抛光工艺的结束使用第二相对旋转速度,所述第二相对旋转速度从所述第一旋转速度偏离至少较低值的10%。
26.根据权利要求1的方法,其中所述衬底包括半导体衬底。
27.一种制造半导体器件的方法,所述方法包括
在衬底的工作表面上提供包括介电聚合物的介电层;
部分地固化所述介电层;
使用化学机械抛光工艺去除所述部分地固化的介电层的一部分;以及
进一步固化所述介电层的剩余部分以形成介电结构。
28.根据权利要求27的方法,进一步包括
在提供所述介电层之前提供从工作表面延伸到衬底中的空腔,其中所述介电层的所述剩余部分形成在所述空腔中。
29.根据权利要求27的方法,进一步包括
在提供所述介电层之前在半导体衬底的第一表面的第一部分中提供进一步层以形成所述衬底,其中所述介电层的剩余部分形成在所述第一表面的不被进一步层覆盖的第二部分中。
CN201310333223.5A 2012-08-03 2013-08-02 制造包括介电结构的半导体器件的方法 Active CN103578969B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/566192 2012-08-03
US13/566,192 US8748317B2 (en) 2012-08-03 2012-08-03 Method of manufacturing a semiconductor device including a dielectric structure

Publications (2)

Publication Number Publication Date
CN103578969A true CN103578969A (zh) 2014-02-12
CN103578969B CN103578969B (zh) 2016-06-08

Family

ID=49944131

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310333223.5A Active CN103578969B (zh) 2012-08-03 2013-08-02 制造包括介电结构的半导体器件的方法

Country Status (3)

Country Link
US (1) US8748317B2 (zh)
CN (1) CN103578969B (zh)
DE (1) DE102013108376B4 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109003953A (zh) * 2018-08-08 2018-12-14 中山大学 一种散热片

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815752B2 (en) * 2012-11-28 2014-08-26 Micron Technology, Inc. Methods of forming features in semiconductor device structures
US9159604B2 (en) * 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US9257323B2 (en) 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1196829A (zh) * 1995-06-26 1998-10-21 联合讯号公司 用化学机械抛光除去旋涂介质的速率情况
US5970374A (en) * 1996-10-18 1999-10-19 Chartered Semiconductor Manufacturing Ltd. Method for forming contacts and vias with improved barrier metal step-coverage
US20020151177A1 (en) * 2001-04-12 2002-10-17 Cabot Microelectrics Corporation Method of reducing in-trench smearing during polishing
CN1427456A (zh) * 2000-12-18 2003-07-02 国际商业机器公司 在半导体器件上形成多孔介电材料层的方法及形成的器件
DE602004012793T2 (de) * 2003-05-21 2009-04-30 Jsr Corp. Chemisch-mechanisches Polierverfahren für STI
CN101635270A (zh) * 2008-07-25 2010-01-27 台湾积体电路制造股份有限公司 集成电路结构的制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004653A (en) * 1997-02-18 1999-12-21 Winbond Electronics Corp. Planarization process by applying a polish-differentiating technique utilizing an ultraviolet-light sensitive organic oxide layer
US6153525A (en) 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6249039B1 (en) * 1998-09-10 2001-06-19 Bourns, Inc. Integrated inductive components and method of fabricating such components
US6323123B1 (en) * 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6699799B2 (en) * 2001-05-09 2004-03-02 Samsung Electronics Co., Ltd. Method of forming a semiconductor device
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
DE102005022017B3 (de) * 2005-05-12 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von Chip-Stapeln sowie zugehörige Chip-Stapel
US20070207592A1 (en) * 2006-03-03 2007-09-06 Lu James J Wafer bonding of damascene-patterned metal/adhesive redistribution layers
JP2007273494A (ja) * 2006-03-30 2007-10-18 Fujitsu Ltd 絶縁膜形成用組成物及び半導体装置の製造方法
JP5306669B2 (ja) 2008-02-29 2013-10-02 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の形成方法およびそれにより形成されたシリカ質膜
US8884378B2 (en) * 2010-11-03 2014-11-11 Infineon Technologies Ag Semiconductor device and a method for manufacturing a semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1196829A (zh) * 1995-06-26 1998-10-21 联合讯号公司 用化学机械抛光除去旋涂介质的速率情况
US5970374A (en) * 1996-10-18 1999-10-19 Chartered Semiconductor Manufacturing Ltd. Method for forming contacts and vias with improved barrier metal step-coverage
CN1427456A (zh) * 2000-12-18 2003-07-02 国际商业机器公司 在半导体器件上形成多孔介电材料层的方法及形成的器件
US20020151177A1 (en) * 2001-04-12 2002-10-17 Cabot Microelectrics Corporation Method of reducing in-trench smearing during polishing
DE602004012793T2 (de) * 2003-05-21 2009-04-30 Jsr Corp. Chemisch-mechanisches Polierverfahren für STI
CN101635270A (zh) * 2008-07-25 2010-01-27 台湾积体电路制造股份有限公司 集成电路结构的制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109003953A (zh) * 2018-08-08 2018-12-14 中山大学 一种散热片

Also Published As

Publication number Publication date
DE102013108376A1 (de) 2014-02-06
CN103578969B (zh) 2016-06-08
DE102013108376B4 (de) 2019-07-11
US8748317B2 (en) 2014-06-10
US20140038413A1 (en) 2014-02-06

Similar Documents

Publication Publication Date Title
JPH1079423A (ja) 半導体デバイスの製造方法
CN103578969A (zh) 制造包括介电结构的半导体器件的方法
JPH08236526A (ja) 集積回路デバイス用ウェファーの全表面を大域的平面化または平面化する方法
JPH09102539A (ja) 半導体装置の製造方法
JP2004510330A (ja) 半導体装置及びその形成プロセス
KR100955935B1 (ko) 반도체 소자의 소자분리막 형성방법
KR100701998B1 (ko) 소자분리막 형성방법 및 이를 이용한 반도체장치의 제조방법
KR100718265B1 (ko) 반도체 장치의 제조 방법
JP2009147104A (ja) 使用済み半導体ウエハ又は基板の再生方法
JPH10261640A (ja) 半導体電子装置の平坦性を向上させるための積層誘電体構成体を付着形成するプロセス
KR100361102B1 (ko) 트렌치 아이솔레이션의 형성방법
JP2000036533A (ja) 半導体装置の製造方法
JP5431948B2 (ja) ハイブリッド基板の製造方法
EP2772941A1 (fr) Procédé de fabrication d'un transistor MOS à ailette
JP2001189382A (ja) 半導体金属インタコネクタの形成方法
KR100984859B1 (ko) 반도체 소자의 소자분리막 및 그 형성방법
KR20070035200A (ko) 반도체 소자의 소자분리막 제조 방법
KR100864629B1 (ko) 반도체 소자의 소자 분리막 및 그 형성방법
CN116847655A (zh) 闪存器件的制造方法
JP2004119518A (ja) 結晶性薄膜、結晶性薄膜の形成方法、半導体装置およびディスプレイ装置
KR100317716B1 (ko) 트랜치를 이용한 소자분리 방법
JP2007281300A (ja) 半導体装置およびその製造方法
JP2006216946A (ja) 構造化された表面の処理方法
KR100353830B1 (ko) 반도체소자의 제조 방법
KR20040069804A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant