CN103380480B - 用于器件集成的硅的低温选择性外延生长 - Google Patents

用于器件集成的硅的低温选择性外延生长 Download PDF

Info

Publication number
CN103380480B
CN103380480B CN201280009465.2A CN201280009465A CN103380480B CN 103380480 B CN103380480 B CN 103380480B CN 201280009465 A CN201280009465 A CN 201280009465A CN 103380480 B CN103380480 B CN 103380480B
Authority
CN
China
Prior art keywords
silicon
gas
epitaxial
backing material
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280009465.2A
Other languages
English (en)
Other versions
CN103380480A (zh
Inventor
B·海克麦特朔-塔巴里
A·卡基菲鲁兹
A·雷茨尼采克
D·K·萨达那
G·G·沙希迪
D·沙赫莉亚迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103380480A publication Critical patent/CN103380480A/zh
Application granted granted Critical
Publication of CN103380480B publication Critical patent/CN103380480B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02584Delta-doping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

一种外延方法包括提供(402)衬底材料的暴露的晶体区域。在低温工艺中将硅外延沉积(404)在所述衬底材料上,其中沉积温度低于500摄氏度。用稀释气体稀释(408)源气体,其中稀释气体与源气体的气体比小于1000。

Description

用于器件集成的硅的低温选择性外延生长
技术领域
本发明涉及半导体加工,更具体地涉及低温外延生长工艺。
背景技术
重掺杂的硅的选择性外延生长(SEG)适合应用于提升的(raised)源极/漏极(S/D)区中以减小与浅掺杂的S/D区相关联的寄生串联电阻。然而,用于硅的SEG的常规方法需要高温处理。典型的处理温度大于600°C。
高温要求限制了能够利用用于Si的SEG的常规方法的工艺和应用。此外,用于硅的外延生长的常规高温沉积(超过600摄氏度)缺乏Si在预定区域上的选择性生长,所述预定区域例如是c-Si的暴露区域。
发明内容
一种外延方法包括提供衬底材料的暴露的晶体区域。在低温工艺中在所述衬底材料上外延沉积硅,其中沉积温度低于500摄氏度。用稀释气体稀释源气体,其中稀释气体与源气体的气体比小于1000。
另一种外延方法包括:提供晶体衬底材料;在所述衬底材料上生长绝缘体;对所述绝缘体开口以形成所述衬底材料的暴露区域;在低温工艺中在所述衬底材料的所述暴露区域上沉积硅以在所述暴露区域上形成外延硅并且在非暴露区域上形成非外延硅,其中沉积温度低于500摄氏度;以及使用等离子体蚀刻所述非外延硅,以在所述暴露区域之上进一步外延沉积硅。
另一种外延方法包括:提供衬底材料的暴露的晶体区域,以及通过用H2稀释硅烷,在低温工艺中在所述衬底材料上选择性地外延沉积硅,其中沉积温度低于500摄氏度,稀释气体与源气体的气体比小于1000。
通过下文中对其示例性实施例的详细描述,这些和其它特征及优点将变得显而易见,所述详细描述要结合附图阅读。
附图说明
本公开将参考以下附图在优选实施例的以下描述中提供细节,在附图中:
图1是根据一个实施例使用选择性外延生长形成的具有提升的源极/漏极区的示例性半导体器件的横截面视图;
图2A是示出了根据一个实施例的薄层电阻(sheetresistance)与[PH3]/[SiH4]的气体比之间的关系的图,示出了三个示例性样品;
图2B是示出图2A的三个样品的原子浓度与深度之间的关系的图,并且该图还示出了根据一个实例的P浓度和PH3流量之间的线性关系;
图3A是根据一个实施例具有在低温工艺中与非外延层一起形成的选择性外延层的器件的横截面视图;
图3B是根据一个实施例的、使非外延层被蚀刻以进一步形成选择性外延层的图3A中的器件的横截面视图;
图3C是根据一个实施例的、在非外延层已经被完全蚀刻之后的图3B中的器件的横截面视图;
图4是示出根据本发明原理用于选择性外延生长的示例性方法的框图/流程图;以及
图5是示出根据本发明原理使用蚀刻进行选择性外延生长的另一示例性方法的框图/流程图。
具体实施方式
根据本发明原理,公开了在低温下进行重掺杂硅的选择性外延生长的方法。在特别有用的实施例中,使用等离子体增强化学气相沉积(PECVD)实现了低至150°C的生长温度。通过增加和优化[H2]/[SiH4]的气体比,获得该外延生长。在另一个实施例中,通过例如使用PH3气体来包含磷,生长N+掺杂的硅。
例如大于1×1020cm-3的高度掺杂剂激活可以在150°C下获得。通过在H2等离子体中蚀刻在晶体-Si(c-Si)未暴露的区域上的沉积的硅,提供选择性生长。因此,本实施例提供了Si的不中断的选择性外延生长(SEG),其中非外延Si的外延生长和等离子体蚀刻在同一反应器中发生。使用本方法,掺硼的Si或其它掺杂剂的选择性外延生长也是可能的。
根据本发明原理的低温工艺开启了诸如下述应用的很多应用的可能性:器件的三维(3D)集成;在绝缘体上极薄半导体(ETSOI)、部分耗尽的SOI(PDSOI)、体硅衬底等上制造的晶体管的提升的源极/漏极(S/D)区;以及其它应用。
等离子体增强化学气相沉积(PECVD)也可以被用于非晶、微晶、多晶的低温沉积以及在低于300°C的温度下在c-Si衬底上的硅的外延生长。
附图中的流程图和框图示出了本发明各种实施例的可能实施方式的架构、功能和操作。应当注意,在一些备选实施方式中,框中标注的功能可以不按图中示出的顺序发生。例如,连续示出的两个框实际上可以基本上同时被执行,或者这些框有时可以以相反的顺序被执行,这取决于所涉及的功能。还应当注意,框图和/或流程示例中的每一个框,以及框图和/或流程示例中框的组合,可以由执行特定功能或动作的专用的基于硬件的系统或者由专用硬件与指令的组合实现。
应当理解,将就使用硅的给定示例性构造来描述本发明;然而,其它构造、结构、衬底材料以及工艺特征和步骤可以在本发明的范围内变化。
此处描述的器件可以是集成电路芯片设计的一部分。芯片设计可以在图形计算机编程语言中产生,并且被存储在计算机存储介质(例如盘、带、物理硬盘驱动器、或诸如存储访问网络(StorageAccessNetwork)的虚拟硬盘驱动器)中。如果设计者不制造芯片或者用于制造芯片的光刻掩模,则设计者可以直接或间接将所产生的设计通过物理手段(例如,通过提供一份存储有该设计的存储介质)或电子地(例如通过互联网)传送到这种实体。然后,所存储的设计可以被转换成适当的格式(例如,GDSII),以制造光刻掩模,光刻掩模典型地包含要形成在晶片上的多份所讨论的芯片设计。光刻掩模可用于限定晶片(和/或其上的层)的要蚀刻的或者要处理的区域。
此处描述的方法可以用于制造集成电路芯片。所得到的集成电路芯片可以以原始晶片的形式(即,作为具有多个未封装的芯片的单个晶片)、作为裸管芯或者以封装的形式由制造商分配。在后一种情况下,芯片被安装在单芯片封装体(例如塑料载体,具有固定到母板或其它更高级别的载体上的引线)中或者被安装在多芯片封装体(例如,具有表面互连或掩埋互连、或者具有表面互连和掩埋互连二者的陶瓷载体)中。在任何一种情况下,所述芯片然后可以作为(a)诸如母板的中间产品或(b)最终产品的一部分,与其它芯片、分立电路元件和/或其它信号处理装置集成。所述最终产品可以是包括集成电路芯片的任何产品,范围从玩具和其它低端应用到具有显示器、键盘或其它输入装置以及中央处理器的高级计算机产品。
现在参考其中相似的附图标记代表相同或相似的要素的附图并且首先参考图1,器件或晶片100包括衬底102,其中将应用根据本发明原理的方法。衬底102可以包括例如体单晶硅衬底、绝缘体上半导体(SOI)、极薄SOI(ETSOI)衬底、部分耗尽的SOI(PDSOI)衬底或其它衬底。其它衬底可以包括Ge、III-V衬底(例如GaAs)等。在本实施例中,硅是优选的用于外延生长的衬底材料;然而,根据本发明原理,也可以采用其它晶体衬底材料。
器件100可以被用于三维(3D)集成应用中或者需要外延生长来形成部件层的其它应用中。在特别有用的实施例中,采用外延生长来形成晶体管的提升的源极/漏极(S/D)区。本实施例将示例性地描述形成提升的S/D区,但本发明原理也适用于任何外延生长和蚀刻应用。
形成包括栅极绝缘体108(例如氧化物)、栅极导体110(例如,掺杂的多晶硅)和隔离物(spacer)112(例如,氮化物)的栅极结构106。也可以采用其它栅极结构和材料。图1示例性地示出了有刻面的(faceted)S/D区120,但S/D区120不必是有刻面的。通过外延生长形成S/D区120。该外延生长可以包括在硅衬底102的预定区域上的在低至150摄氏度的温度下的重掺杂或未掺杂的硅。在晶体硅(c-Si)暴露的地方这是优选的,因此选择性外延生长。
在一个实施例中,使用等离子体增强化学气相沉积工艺(PEVCD)在氢气稀释的硅烷环境中进行硅的选择性外延生长。在150摄氏度下氢气与硅烷气体的气体比([H2]/[SiH4])优选为0至约1000。在特别有用的实施例中,硅的外延生长在约5-10的气体比下开始。通过将氢气稀释度增加到例如5或更大,改善外延Si的质量。
可以使用各种气体源,例如,硅烷(SiH4)、二氯甲硅烷(DCS)、SiF4、SiCl4等,来生长外延硅。使用这些或其它气体,通过增加氢气的稀释度,改善外延硅的质量。对于更高的氢气稀释度,产生更平滑的界面(外延硅到晶体硅)并且观察到更少的堆垛层错和其它缺陷。
射频(RF)或直流(DC)等离子体增强化学气相沉积(CVD)优选在从约室温到约500摄氏度的沉积温度范围下进行,并且优选在从约150摄氏度到约250摄氏度的沉积温度范围下进行。等离子体功率密度的范围可以为约2mW/cm2到约2000mW/cm2。沉积压力范围可以为约10mtorr到约5torr。
在一个实施例中,高度掺杂剂激活可以在低至150摄氏度的温度下获得。这使得本发明的方法对于3D集成和提升的S/D制造中的应用有吸引力。外延Si可以包含例如碳、锗、磷、砷、硼等。低温外延Si可以生长在诸如Si、Ge和III-V的不同的衬底上。例如,本发明人根据本发明原理在约200摄氏度下将外延硅层生长在GaAs上。
参考图2A,示出了对于各种PH3气体流量,掺磷的外延硅(epi-Si)的薄层电阻率。对于各种PH3气体流量掺杂的~40-50nm厚的epi-Si的薄层电阻率表明硅中的高度掺杂剂激活。氢气与硅烷气体的比率为14。对被标记为1、2和3的样品进行二次离子质谱法(SIMS)。
图2B示出了样品1、2和3的P浓度(原子/cm3)与深度(nm)的关系。P浓度与PH3呈线性比例关系(见插图210)。从样品1和2的薄层电阻率测量得到的电活性掺杂剂的对应水平与由SIMS数据给出的实际掺杂剂浓度一致。然而,对于样品3,电活性的掺杂剂的浓度远低于总的所包含的掺杂剂,这从图2B的SIMS分析显而易见。对于样品3,通过增加[PH3]/[SiH4],硅的外延生长被打断,并且硅的非外延相开始生长。对于包含硼的情况,获得了类似的结果。应当理解,如果期望,则掺杂剂气体浓度应当保持为低于阈值气体比,以避免非晶体硅相或者提供非外延相。关于图2B,该阈值似乎大约是约5-8的[PH3]/[SiH4]的气体比。其它气体/掺杂剂工艺具有其它阈值。
参考图3A-3C,在另一个实施例中,可以通过在H2等离子体308中原位蚀刻非外延硅来获得衬底304的预定区域上的外延硅302的选择性生长。采用非晶硅的蚀刻工艺来在暴露的晶体硅区域上同时形成外延硅。应当理解,外延生长和蚀刻可以根据需要顺序地或同时地执行。在图3A中,在形成于衬底304上的绝缘体(例如,氧化硅(SiO2))层306中打开窗口312。在例如500mTorr、[H2]/[SiH4]=14并且功率密度为4mW/cm2的情况下,沉积硅302。结果,硅302在窗口区域312中外延,其中在窗口区域312,硅302暴露于衬底304的c-Si。绝缘体(例如氧化物)306上硅形成为非外延(例如非晶)硅310。
在图3B中,在900mtorr在150摄氏度下进行H2等离子体蚀刻308,得到c-Si304相对于a-Si:H310的近似1:3的蚀刻选择率。图3C示出了选择性外延硅302并且非外延(在这种情况下,非晶)Si310被去除。应当理解,可以使用诸如例如H2、HCl、Cl2、Ar等的气体来蚀刻生长在绝缘体306上的非外延部分(非晶Si310)。外延沉积和H2等离子体蚀刻可以在同一腔内顺序地或同时地进行。可以通过使负责外延生长的气体脉冲(例如,硅烷和掺杂剂物类(species))和蚀刻(等离子体蚀刻剂,例如H2、HCl等)或者通过使所有气体同时流动,来实现选择性外延生长。
参考图4,示例性地示出了用于选择性外延生长的方法。在框402中,提供衬底材料的暴露的晶体区域。这可以包括在电介质层中打开窗口或者对衬底上的层进行构图。衬底材料可以包括Si、Ge、III-V材料等。
在框404中,在低温工艺中将硅外延沉积在衬底材料上,其中沉积温度低于500摄氏度,并且优选地低于250摄氏度。该工艺对于衬底的暴露区域是选择性的。该工艺优选包括射频或直流等离子体增强化学气相沉积工艺。
在框408中,用包含H2、HCl、Cl2和Ar中的至少一种的稀释气体稀释源气体,其中稀释气体与源气体的气体比小于1000。源气体可以包括SiH4、二氯甲硅烷(DCS)、SiF4或SiCl4中的一种。在一个特别有用的实施例中,与H2一起使用SiH4,其中气体比[H2]/[SiH4]大于5。
在框410中,可以用提供掺杂的外延硅的气体比引入一个或多个掺杂剂物类。掺杂的外延硅可以包含碳、锗、磷、砷或硼中的至少一种。
参考图5,示例性地示出了用于选择性外延生长的另一种方法,该方法采用例如RF或DC等离子体增强化学气相沉积工艺。在框502中,提供晶体衬底材料。衬底材料可以包括Si、Ge、III-V材料等。在框504中,在衬底材料上生长氧化物或绝缘体。在框506中,绝缘体(例如,氧化物)被开口或被构图,以形成衬底材料的暴露区域。
在框512中,在低温工艺(例如,沉积温度低于500摄氏度,并且更优选地,低于250摄氏度)中在衬底材料的暴露区域上沉积硅以在暴露区域上形成外延硅并且在非暴露区上形成非外延硅。在框514中,硅沉积包括用包括H2、HCl、Cl2和Ar中的至少一种的稀释气体稀释源气体,其中稀释气体与源气体的气体比小于1000,其中源气体包括SiH4、二氯甲硅烷(DCS)、SiF4或SiCl4中的一种。
在框516中,使用等离子体选择性地蚀刻非外延(例如非晶或多晶)硅,并且在暴露区域之上进行硅的进一步外延沉积。等离子体可以包括H2、HCl、Cl2或Ar中的至少一种。
在框518中,可以用提供掺杂的外延硅的气体比引入一个或多个掺杂剂类。掺杂的外延硅可以包括碳、锗、磷、砷或硼中的至少一种。在框520中,通过使沉积和蚀刻步骤交替,或者同时进行沉积和蚀刻,提供选择性的外延生长。
已经描述了用于器件集成的硅的超低温选择性外延生长的优选实施例(这些优选实施例旨在为示例性的而非限制性的),应注意,本领域技术人员可以根据上述教导作出修改和改变。因此,应理解,可以在由所附权利要求书限定的本发明的范围内对所公开的特定实施例作出改变。已经由此以由专利法要求的详细说明和细节描述了本发明的各方面,所要求保护以及期望专利证书予以保护的内容在所附权利要求书中进行了阐述。

Claims (25)

1.一种外延方法,包括:
提供衬底材料的暴露的晶体区域;以及
通过用包括H2、HCl、Cl2和Ar中的至少一种的稀释气体稀释源气体,在低温工艺中在所述衬底材料上外延沉积硅,其中沉积温度低于500摄氏度,稀释气体与源气体的气体比小于1000。
2.根据权利要求1所述的方法,其中所述外延沉积硅包括射频或直流等离子体增强化学气相沉积工艺。
3.根据权利要求1所述的方法,其中所述源气体包括SiH4、二氯甲硅烷(DCS)、SiF4或SiCl4中的一种。
4.根据权利要求1所述的方法,其中所述沉积温度低于250摄氏度。
5.根据权利要求1所述的方法,其中所述衬底材料包括Si、Ge和III-V材料中的一种。
6.根据权利要求1所述的方法,其中稀释包括以大于5的气体比用H2稀释SiH4
7.根据权利要求1所述的方法,还包括以提供掺杂的外延硅的气体比引入掺杂剂。
8.根据权利要求7所述的方法,其中所述掺杂的外延硅包括碳、锗、磷、砷或硼中的至少一种。
9.一种外延方法,包括:
提供晶体衬底材料;
在所述衬底材料上生长绝缘体;
对所述绝缘体开口以形成所述衬底材料的暴露区域;
在低温工艺中在所述衬底材料的所述暴露区域上沉积硅以在所述暴露区域上形成外延硅并且在非暴露区域上形成非外延硅,其中沉积温度低于500摄氏度,其中沉积硅包括用稀释气体稀释源气体,其中稀释气体与源气体的气体比小于1000;以及
使用等离子体蚀刻所述非外延硅,以在所述暴露区域之上进一步外延沉积硅。
10.根据权利要求9所述的方法,其中沉积硅包括射频或直流等离子体增强化学气相沉积工艺。
11.根据权利要求9所述的方法,其中沉积硅包括用包括H2、HCl、Cl2和Ar中的至少一种的稀释气体稀释源气体,其中所述源气体包括SiH4、二氯甲硅烷(DCS)、SiF4或SiCl4中的一种。
12.根据权利要求11所述的方法,其中稀释包括以大于5的气体比用H2稀释SiH4
13.根据权利要求9所述的方法,其中所述沉积温度低于250摄氏度。
14.根据权利要求9所述的方法,其中所述衬底材料包括Si、Ge和III-V材料中的一种。
15.根据权利要求9所述的方法,还包括以提供掺杂的外延硅的气体比引入掺杂剂。
16.根据权利要求15所述的方法,其中所述掺杂的外延硅包括碳、锗、磷、砷或硼中的至少一种。
17.根据权利要求9所述的方法,其中所述等离子体包括H2、HCl、Cl2或Ar中的至少一种。
18.根据权利要求9所述的方法,其中通过使所述沉积和蚀刻步骤交替来提供选择性外延生长。
19.根据权利要求9所述的方法,其中沉积和蚀刻的步骤同时进行。
20.一种外延方法,包括:
提供衬底材料的暴露的晶体区域;以及
通过用H2稀释硅烷,在低温工艺中在所述衬底材料上选择性地外延沉积硅,其中沉积温度低于500摄氏度,稀释气体与源气体的气体比小于1000。
21.根据权利要求20所述的方法,其中所述外延沉积硅包括射频或直流等离子体增强化学气相沉积工艺。
22.根据权利要求20所述的方法,其中所述衬底材料包括Si、Ge和III-V材料中的一种。
23.根据权利要求20所述的方法,还包括以提供掺杂的外延硅的气体比引入掺杂剂。
24.根据权利要求23所述的方法,其中所述掺杂的外延硅包括碳、锗、磷、砷或硼中的至少一种。
25.根据权利要求20所述的方法,其中所述沉积温度低于150度。
CN201280009465.2A 2011-02-23 2012-01-26 用于器件集成的硅的低温选择性外延生长 Active CN103380480B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/032,866 US10011920B2 (en) 2011-02-23 2011-02-23 Low-temperature selective epitaxial growth of silicon for device integration
US13/032,866 2011-02-23
PCT/US2012/022716 WO2012115743A1 (en) 2011-02-23 2012-01-26 Low-temperature selective epitaxial growth of silicon for device integration

Publications (2)

Publication Number Publication Date
CN103380480A CN103380480A (zh) 2013-10-30
CN103380480B true CN103380480B (zh) 2016-06-22

Family

ID=46651684

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280009465.2A Active CN103380480B (zh) 2011-02-23 2012-01-26 用于器件集成的硅的低温选择性外延生长

Country Status (5)

Country Link
US (4) US10011920B2 (zh)
JP (1) JP2014512669A (zh)
CN (1) CN103380480B (zh)
DE (1) DE112012000962B4 (zh)
WO (1) WO2012115743A1 (zh)

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8871620B2 (en) 2011-07-28 2014-10-28 International Business Machines Corporation III-V photovoltaic elements
US8980737B2 (en) 2012-05-24 2015-03-17 International Business Machines Corporation Methods of forming contact regions using sacrificial layers
US8889529B2 (en) 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9064924B2 (en) 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US9093548B2 (en) 2012-06-06 2015-07-28 International Business Machines Corporation Thin film hybrid junction field effect transistor
US9166072B2 (en) 2012-09-04 2015-10-20 International Business Machines Corporation Field-effect localized emitter photovoltaic device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9059212B2 (en) * 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9859455B2 (en) 2013-02-08 2018-01-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device with a floating junction front surface field
US9640699B2 (en) 2013-02-08 2017-05-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device
US9231094B2 (en) 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
US9276077B2 (en) 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9087705B2 (en) 2013-06-05 2015-07-21 International Business Machines Corporation Thin-film hybrid complementary circuits
US9356114B2 (en) 2013-10-01 2016-05-31 Globalfoundries Inc. Lateral heterojunction bipolar transistor with low temperature recessed contacts
US10651252B2 (en) 2014-03-26 2020-05-12 International Business Machines Corporation Vertically integrated active matrix backplane
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10079288B2 (en) 2016-06-07 2018-09-18 International Business Machines Corporation Contact formation on germanium-containing substrates using hydrogenated silicon
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018194899A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Low temperature selective epitaxial silicon deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI711716B (zh) * 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10347667B2 (en) 2017-07-26 2019-07-09 International Business Machines Corporation Thin-film negative differential resistance and neuronal circuit
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107731735B (zh) * 2017-11-21 2020-02-14 长江存储科技有限责任公司 一种通过温和湿法刻蚀改善seg生长形态的seg制备工艺
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10090415B1 (en) 2017-11-29 2018-10-02 International Business Machines Corporation Thin film transistors with epitaxial source/drain contact regions
US10256271B1 (en) 2017-11-30 2019-04-09 International Business Machines Corporation Phase change memory array with integrated polycrystalline diodes
US10312438B1 (en) 2017-12-18 2019-06-04 International Business Machines Corporation Resistive memory with amorphous silicon filaments
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10672490B2 (en) 2018-01-17 2020-06-02 International Business Machines Corporation One-time-programmable memory in a high-density three-dimensional structure
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112335032A (zh) 2018-03-09 2021-02-05 应用材料公司 用于通过PECVD进行Si间隙填充的方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10374103B1 (en) 2018-03-28 2019-08-06 International Business Machines Corporation Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays
US10930705B2 (en) 2018-03-28 2021-02-23 International Business Machines Corporation Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
EP3830860A4 (en) 2018-07-30 2022-04-20 Applied Materials, Inc. PROCESS FOR SELECTIVE SILICON-GERMANIUM EPITAXY AT LOW TEMPERATURES
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10615225B2 (en) 2018-08-22 2020-04-07 International Business Machines Corporation Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US10903275B2 (en) 2019-06-03 2021-01-26 International Business Machines Corporation Three-dimensional stackable multi-layer cross-point memory with single-crystalline bipolar junction transistor selectors
US11018188B2 (en) 2019-06-03 2021-05-25 International Business Machines Corporation Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation
CN115491655A (zh) * 2022-10-05 2022-12-20 江苏筑磊电子科技有限公司 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580381A (en) * 1990-11-15 1996-12-03 Canon Kabushiki Kaisha Method of forming crystal
CN1365139A (zh) * 2001-04-12 2002-08-21 中国科学院长春光学精密机械与物理研究所 在低温下对硅表面氧化物的去除和外延生长方法
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4473597A (en) 1978-02-01 1984-09-25 Rca Corporation Method and structure for passivating a PN junction
US4686763A (en) 1985-10-02 1987-08-18 Advanced Micro Devices, Inc. Method of making a planar polysilicon bipolar device
US5159424A (en) 1988-12-10 1992-10-27 Canon Kabushiki Kaisha Semiconductor device having a high current gain and a higher ge amount at the base region than at the emitter and collector region, and photoelectric conversion apparatus using the device
US5106767A (en) 1990-12-07 1992-04-21 International Business Machines Corporation Process for fabricating low capacitance bipolar junction transistor
US5117271A (en) 1990-12-07 1992-05-26 International Business Machines Corporation Low capacitance bipolar junction transistor and fabrication process therfor
JPH04333288A (ja) 1991-05-08 1992-11-20 Canon Inc 太陽電池の製造方法
FR2711276B1 (fr) 1993-10-11 1995-12-01 Neuchatel Universite Cellule photovoltaïque et procédé de fabrication d'une telle cellule.
US5895766A (en) 1995-09-20 1999-04-20 Micron Technology, Inc. Method of forming a field effect transistor
US6020246A (en) 1998-03-13 2000-02-01 National Semiconductor Corporation Forming a self-aligned epitaxial base bipolar transistor
JP4228458B2 (ja) 1999-03-16 2009-02-25 ソニー株式会社 半導体装置の製造方法
CH694699A5 (de) * 1999-04-29 2005-06-15 Balzers Hochvakuum Verfahren zur Herstellung von Silizium.
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
JP2002217433A (ja) 2001-01-18 2002-08-02 Sharp Corp 半導体装置
US6617220B2 (en) 2001-03-16 2003-09-09 International Business Machines Corporation Method for fabricating an epitaxial base bipolar transistor with raised extrinsic base
NL1017849C2 (nl) 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US6759731B2 (en) 2002-06-05 2004-07-06 United Microelectronics Corp. Bipolar junction transistor and fabricating method
KR100460066B1 (ko) * 2002-07-19 2004-12-04 주식회사 하이닉스반도체 반도체소자의 제조방법
JP2004103855A (ja) 2002-09-10 2004-04-02 Canon Inc 基板及びその製造方法
US6872606B2 (en) 2003-04-03 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised segment
US6998275B2 (en) 2003-04-09 2006-02-14 Texas Instruments Incorporated Hydrogen-less CVD TiN process for FeRAM VIA0 barrier application
US8664525B2 (en) 2003-05-07 2014-03-04 Imec Germanium solar cell and method for the production thereof
KR100586737B1 (ko) 2003-12-26 2006-06-08 한국전자통신연구원 SOI 기판 위에 구현된 NMOS 소자, PMOS 소자및 SiGe BiCMOS 소자 및 그 제조 방법
US20060084243A1 (en) 2004-10-20 2006-04-20 Ying Zhang Oxidation sidewall image transfer patterning method
US20060130891A1 (en) 2004-10-29 2006-06-22 Carlson David E Back-contact photovoltaic cells
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
FR2880989B1 (fr) 2005-01-20 2007-03-09 Commissariat Energie Atomique Dispositif semi-conducteur a heterojonctions et a structure inter-digitee
US7468485B1 (en) 2005-08-11 2008-12-23 Sunpower Corporation Back side contact solar cell with doped polysilicon regions
US7405140B2 (en) * 2005-08-18 2008-07-29 Tokyo Electron Limited Low temperature formation of patterned epitaxial Si containing films
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US20070169808A1 (en) 2006-01-26 2007-07-26 Kherani Nazir P Solar cell
US20070235759A1 (en) 2006-04-11 2007-10-11 International Business Machines Corporation CMOS process with Si gates for nFETs and SiGe gates for pFETs
US7737357B2 (en) 2006-05-04 2010-06-15 Sunpower Corporation Solar cell having doped semiconductor heterojunction contacts
CN101548032A (zh) * 2006-05-15 2009-09-30 阿里斯技术公司 硅片器件的低温掺杂方法
DE102006042617B4 (de) 2006-09-05 2010-04-08 Q-Cells Se Verfahren zur Erzeugung von lokalen Kontakten
AU2008229050A1 (en) 2007-03-16 2008-09-25 Bp Corporation North America Inc. Solar cells
JP5142565B2 (ja) 2007-03-20 2013-02-13 三洋電機株式会社 太陽電池の製造方法
JP2008311286A (ja) 2007-06-12 2008-12-25 Canon Inc 半導体薄膜及びその製造方法
US8053810B2 (en) 2007-09-07 2011-11-08 International Business Machines Corporation Structures having lattice-mismatched single-crystalline semiconductor layers on the same lithographic level and methods of manufacturing the same
DE102007059486A1 (de) 2007-12-11 2009-06-18 Institut Für Solarenergieforschung Gmbh Rückkontaktsolarzelle mit länglichen, ineinander verschachtelten Emitter- und Basisbereichen an der Rückseite und Herstellungsverfahren hierfür
US20090151623A1 (en) * 2007-12-12 2009-06-18 Atmel Corporation Formation and applications of high-quality epitaxial films
TW200947725A (en) 2008-01-24 2009-11-16 Applied Materials Inc Improved HIT solar cell structure
US7622365B2 (en) 2008-02-04 2009-11-24 Micron Technology, Inc. Wafer processing including dicing
JP2009200419A (ja) 2008-02-25 2009-09-03 Seiko Epson Corp 太陽電池の製造方法
JP2011517120A (ja) 2008-04-09 2011-05-26 アプライド マテリアルズ インコーポレイテッド ポリシリコンエミッタ太陽電池用簡易裏面接触
US7999175B2 (en) 2008-09-09 2011-08-16 Palo Alto Research Center Incorporated Interdigitated back contact silicon solar cells with laser ablated grooves
TWI514595B (zh) 2008-09-24 2015-12-21 Semiconductor Energy Lab 光電轉換裝置及其製造方法
WO2010050035A1 (ja) 2008-10-30 2010-05-06 三菱重工業株式会社 光電変換装置の製造方法
US20100186802A1 (en) 2009-01-27 2010-07-29 Peter Borden Hit solar cell structure
GB2467360A (en) 2009-01-30 2010-08-04 Renewable Energy Corp Asa Contact for a solar cell
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
DE102009024807B3 (de) 2009-06-02 2010-10-07 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Solarzelle mit benachbarten elektrisch isolierenden Passivierbereichen mit hoher Oberflächenladung gegensätzlicher Polarität und Herstellungsverfahren
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
KR101248163B1 (ko) 2009-09-10 2013-03-27 엘지전자 주식회사 이면 접합형 태양 전지 및 그 제조 방법
US20110132444A1 (en) 2010-01-08 2011-06-09 Meier Daniel L Solar cell including sputtered reflective layer and method of manufacture thereof
US8790957B2 (en) 2010-03-04 2014-07-29 Sunpower Corporation Method of fabricating a back-contact solar cell and device thereof
KR20130038829A (ko) 2010-04-06 2013-04-18 코비오 인코포레이티드 에피택셜 구조, 그 제조방법, 및 그것을 포함하는 소자
US8686283B2 (en) 2010-05-04 2014-04-01 Silevo, Inc. Solar cell with oxide tunneling junctions
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US9099596B2 (en) 2011-07-29 2015-08-04 International Business Machines Corporation Heterojunction photovoltaic device and fabrication method
US20130228221A1 (en) 2011-08-05 2013-09-05 Solexel, Inc. Manufacturing methods and structures for large-area thin-film solar cells and other semiconductor devices
US9640676B2 (en) 2012-06-29 2017-05-02 Sunpower Corporation Methods and structures for improving the structural integrity of solar cells

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580381A (en) * 1990-11-15 1996-12-03 Canon Kabushiki Kaisha Method of forming crystal
CN1365139A (zh) * 2001-04-12 2002-08-21 中国科学院长春光学精密机械与物理研究所 在低温下对硅表面氧化物的去除和外延生长方法
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films

Also Published As

Publication number Publication date
US20170081781A1 (en) 2017-03-23
US10011920B2 (en) 2018-07-03
WO2012115743A1 (en) 2012-08-30
US20150247259A1 (en) 2015-09-03
DE112012000962T5 (de) 2013-11-21
JP2014512669A (ja) 2014-05-22
CN103380480A (zh) 2013-10-30
US20120210932A1 (en) 2012-08-23
DE112012000962B4 (de) 2020-11-12
US20180258549A1 (en) 2018-09-13

Similar Documents

Publication Publication Date Title
CN103380480B (zh) 用于器件集成的硅的低温选择性外延生长
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
JP5173140B2 (ja) 電気的に活性なドープト結晶性Si含有膜の堆積方法
US20170330965A1 (en) Air-gap top spacer and self-aligned metal gate for vertical fets
US8900934B2 (en) FinFET devices containing merged epitaxial Fin-containing contact regions
US20050245058A1 (en) Method for producing high throughput strained-si channel mosfets
CN103956323B (zh) 半导体器件及其形成方法、非瞬时计算机可读存储介质
CN103094089B (zh) 鳍式场效应晶体管栅极氧化物
US9093275B2 (en) Multi-height multi-composition semiconductor fins
CN103871894A (zh) 半导体器件及其形成方法
US9184290B2 (en) Method of forming well-controlled extension profile in MOSFET by silicon germanium based sacrificial layer
US20140252500A1 (en) Sacrificial replacement extension layer to obtain abrupt doping profile
JP2007537601A (ja) 選択的堆積プロセスを使用したmosfetデバイスの作製方法
US9129938B1 (en) Methods of forming germanium-containing and/or III-V nanowire gate-all-around transistors
US9577065B2 (en) Back-end transistors with highly doped low-temperature contacts
US20070298594A1 (en) Semiconductor device fabrication method
US8928107B2 (en) Light detection devices and methods of manufacturing the same
US9240447B1 (en) finFETs containing improved strain benefit and self aligned trench isolation structures
CN103000499A (zh) 一种锗硅硼外延层生长方法
US10283463B2 (en) Terahertz detector comprised of P-N junction diode
US7202142B2 (en) Method for producing low defect density strained -Si channel MOSFETS
US9437675B1 (en) eDRAM for planar III-V semiconductor devices
CN104425379A (zh) 半导体器件的形成方法
CN109300788A (zh) 半导体结构及其形成方法
JP2001126989A (ja) 半導体薄膜の形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant