CN103155138B - 制造三维结构存储元件的方法及装置 - Google Patents

制造三维结构存储元件的方法及装置 Download PDF

Info

Publication number
CN103155138B
CN103155138B CN201180048972.2A CN201180048972A CN103155138B CN 103155138 B CN103155138 B CN 103155138B CN 201180048972 A CN201180048972 A CN 201180048972A CN 103155138 B CN103155138 B CN 103155138B
Authority
CN
China
Prior art keywords
insulating barrier
sacrifice layer
substrate
memory element
dimensional structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180048972.2A
Other languages
English (en)
Other versions
CN103155138A (zh
Inventor
赵星吉
金海元
禹相浩
申承祐
张吉淳
吴完锡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eugene Technology Co Ltd
Original Assignee
Eugene Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eugene Technology Co Ltd filed Critical Eugene Technology Co Ltd
Priority to CN201510007521.4A priority Critical patent/CN104674192B/zh
Publication of CN103155138A publication Critical patent/CN103155138A/zh
Application granted granted Critical
Publication of CN103155138B publication Critical patent/CN103155138B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

一种三维结构存储元件的制造方法包括:在基底上交替层叠一个以上绝缘层和一个以上牺牲层的步骤;形成贯通所述绝缘层和所述牺牲层的贯通孔的步骤;形成填充所述贯通孔的图形的步骤;形成贯通所述绝缘层和所述牺牲层的开口的步骤;以及通过所述开口供给蚀刻剂而去除所述牺牲层的步骤。其中,所述层叠绝缘层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10群中的一种以上气体而蒸镀氧化硅膜的步骤,所述层叠牺牲层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10、SiCl2H2群中的气体和基于氨的气体而蒸镀氮化膜的步骤。

Description

制造三维结构存储元件的方法及装置
技术领域
本发明涉及一种制造存储元件的方法和装置,更详细地,涉及一种制造三维结构存储元件的方法和装置。
背景技术
对于电子产品而言,在要求体积变得越来越小的同时,还要求高容量数据的处理。因此,需要减小这种电子产品的存储元件体积的同时提高其集成度,在这一点上,考虑具有三维结构的存储元件来代替现有平面型结构。
发明内容
发明要解决的课题
本发明的目的在于,提供一种能够降低存储元件体积的存储元件的制造方法及装置。
本发明的其他目的在于,提供一种能够有效制造三维结构存储元件的方法及装置。
本发明的另外其他目的在于,提供一种能够防止在蒸镀多个薄膜的工序中由薄膜的应力差引起的基底基底变形的存储元件的制造方法及装置。
通过详细的说明和添加的附图,能够进一步明确本发明的一些其他目的。
解决课题的方法
根据本发明的一个实施例,一种三维结构存储元件的制造方法包括:在基底上交替层叠一个以上绝缘层和一个以上牺牲层的步骤;形成贯通所述绝缘层和所述牺牲层的贯通孔的步骤;形成填充所述贯通孔的图形的步骤;形成贯通所述绝缘层和所述牺牲层的开口的步骤;以及通过所述开口供给蚀刻剂而去除所述牺牲层的步骤,其中,所述层叠绝缘层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10群中的一种以上气体而蒸镀氧化硅膜的步骤,所述层叠牺牲层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10、SiCl2H2群中的气体和基于氨的气体而蒸镀氮化膜的步骤。
所述绝缘层和所述牺牲层对所述蚀刻剂具有刻蚀选择比(etchselectivity),所述牺牲层的蚀刻率为所述绝缘层的蚀刻率的五倍至三百倍以上。
所述蚀刻剂选自H3PO4、HF、BOE中的一种以上。
所述层叠绝缘层的步骤进一步包括供给基于乙基的气体的步骤,所述氧化硅膜为SiCO。(Silicon Carbon Oxide)。
所述层叠绝缘层的步骤进一步包括供给基于甲基的气体的步骤,所述氧化硅膜为SiCO(Silicon Carbon Oxide)。
所述基于氨的气体可以是NH3
所述基底的温度可以保持在300至790度,所述基底的加工压力可以保持在10mTorr至250Torr。
所述氧化硅膜和所述氮化膜具有互相不同的厚度。
所述交替层叠绝缘层和牺牲层的步骤进一步包括通过环形边对所述基底的边缘部施压的步骤。
所述基底的边缘部相当于从所述基底的边缘向所述基底的内侧0.5mm-3mm的范围。
所述环形边可以是陶瓷材料。
根据本发明的其他实施例,一种三维结构存储元件的制造方法包括:在基底上交替层叠一个以上绝缘层和一个以上牺牲层的步骤;形成贯通所述绝缘层和所述牺牲层的贯通孔的步骤;形成填充所述贯通孔的图形的步骤;形成贯通所述绝缘层和所述牺牲层的开口的步骤;以及通过所述开口供给蚀刻剂而去除所述牺牲层的步骤,其中,所述层叠绝缘层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10群中的一种以上气体而蒸镀第一氧化硅膜的步骤,所述层叠牺牲层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10、二氯硅烷(SiCl2H2)群中的一种以上气体和基于氨的气体、以及选自B2H6、PH3群中的一种以上气体而蒸镀注入有硼或者磷的氮化膜的步骤。
根据本发明的一个实施例,一种三维结构存储元件的制造装置包括:用于实行基底加工的腔室;基底支撑台,其设置于所述腔室内并用于放置所述基底,并通过升降而转换至所述基底出入于所述腔室内部的解除位置和对所述基底进行加工的加工位置;环形边,当所述基底支撑台处于所述解除位置时,所述环形边位于所述基底的上部,并且所述环形边具有当所述基底支撑台转换至所述加工位置时,对位于所述基底支撑台上部的所述基底的边缘部施压的施压面。
所述基底支撑台具有位于所述基底外侧的环状边缘部,所述环形边具备:位于所述基底支撑台的边缘部上部的支撑部;从所述支撑部向所述基底的边缘部延伸且具有所述施压面的施压部;水平支撑部,其从所述支撑部向所述腔室的侧壁延伸,当所述基底支撑台处于解除位置时,所述水平支撑部置在设置于所述腔室侧壁的固定突起的上面;以及垂直支撑部,其从所述支撑部向所述下部延伸,当所述基底支撑台处于解除位置时,与设置于所述腔室侧壁的固定突起的侧面相接触。
发明的效果
根据本发明的实施例,通过将存储元件形成为三维结构,能够降低存储元件的体积。并且,在基底基底上交替层叠形成绝缘层和牺牲层之后,在通过如多晶硅薄膜等图形来支撑绝缘层的状态下,能够有效地去除牺牲层,该图形作为半导体晶体管通道而使用。而且,能够防止在蒸镀多个薄膜的工序中由薄膜的应力差引起的基底基底变形。
附图说明
图1至图6是概略性地表示本发明一实施例的存储元件的制造方法的剖面图。
图7是表示基于乙基的气体的供给量和蒸镀的薄膜的蚀刻率的关系的图表。
图8是概略性地表示本发明一实施例的存储元件制造装置的图。
图9是概略性地表示本发明其他实施例的存储元件制造装置的图。
图10是概略性地表示图9所示的环形边的立体图。
图11和图12是表示图9所示的环形边的动作的图。
具体实施方式
图1至图6是概略性地表示本发明一实施例的存储元件的制造方法的剖面图。以下,参考图1至图6说明存储元件的制造方法。
首先,如图1所示,可以提供基底基底105。基底基底105可以包含半导体物质,如IV族半导体、III-V族化合物半导体或者II-VI族氧化物半导体。例如,IV族半导体可以包含硅、锗或者硅锗。基底基底105可以作为块晶(bulk wafer)或者外延层被提供。
其次,可以在基底105的上部注入杂质,由此限定杂质区域110。接着,可以在基底105上交替层叠绝缘层115和牺牲层120。绝缘层115和牺牲层120可以形成为8×8或18×18、或者n×n的多层结构。在本实施例中,先层叠绝缘层115,最后层叠牺牲层120,但是根据需要可以改变绝缘层115和牺牲层120的层叠顺序。
绝缘层115可以是硅氧化膜(Silicon Dioxide,SiO2),其可以通过使供给在基底105上的硅烷(SiH4)和氧化氮(N2O)反应而形成。可以用Si2H6、Si3H8、Si4H10等来代替硅烷(SiH4)。另外,牺牲层120可以是氮化膜(Silicon Nitride,Si3NH4),其可以通过使供给在基底105上的硅烷和基于氨的气体反应来形成。一方面,可以用Si2H6、Si3H8、Si4H10、SiCl2H2等来代替硅烷,基于氨的气体可以是NH3。此外,与本实施例不同地,牺牲层120可以是向基底105上提供选自SiH4、Si2H6、Si3H8、Si4H10、二氯硅烷(SiCl2H2)群中的一种以上气体、和基于氨的气体以及选自B2H6、PH3群中的一种以上气体而形成的硅氧化膜。在该情况下,可以向氮化膜上注入硼(boron)或者磷(phosphorus)(可以同时注入硼和磷)。
接着,如图2所示,可以通过蚀刻绝缘层115和牺牲层120来形成多个贯通孔125,贯通孔125贯通绝缘层115和牺牲层120。贯通孔125可以使用公知的光刻和蚀刻技术来形成。然后,通过公知的用于形成半导体晶体管的通道的形成工序(或者形成多晶硅薄膜的工序)来形成图形130,以填充贯通孔125。此时,图形130可以是中空的圆筒形状,同样,图形130贯通绝缘层115和牺牲层120。例如,图形130可以形成为多晶结构,或者也可以是单晶结构的外延层等薄膜形状。
其次,如图3所示,通过蚀刻图形130之间的绝缘层115和牺牲层120来形成开口135。开口135可以使用光刻和蚀刻技术来形成。
而后,如图4所示,可以去除牺牲层120。如上所述,绝缘层115可以是硅氧化膜。牺牲层120可以是氮化膜;或可以是供给选自SiH4、Si2H6、Si3H8、Si4H10、二氯硅烷(SiCl2H2)群中的一种以上气体和选自B2H6、PH3群中的一种以上气体而形成的注入有硼(boron)或者磷(phosphorus)(可以同时注入硼和磷)的氮化膜。牺牲层120相对绝缘层115具有刻蚀选择比(etch selectivity),牺牲层120的蚀刻率可以是绝缘层115的蚀刻率的五倍至三百倍以上的大小。由此,当绝缘层115和牺牲层120以相同的时间露出于蚀刻剂时,已蚀刻的牺牲层120的大小可以是已蚀刻的绝缘层115的大小的五倍至三百倍以上,绝缘层115被蚀刻的程度非常小。
可以利用如上所述的原理去除牺牲层120。通过等向性蚀刻可以将蚀刻剂从开口135渗透至绝缘层115之间,等向性蚀刻可以包括湿法蚀刻或者化学干法蚀刻(chemical dry etch)。蚀刻剂可以包含H3PO4、HF、BOE(缓冲氧化蚀刻剂:buffered oxide etch)中的任意一个。由此去除绝缘层115之间的牺牲层120,从而可以形成与开口135相连接的隧道140。图形130的侧壁通过隧道140露出。
然后,如图5所示,在通过开口(图8的135)和隧道(图8的140)而露出的绝缘层115和图形130侧壁上,形成存储介质150。就存储介质150而言,可以依次形成隧道绝缘层142、电荷存储层144和屏蔽绝缘层146。接着,可以在存储介质150上形成导电层155。例如,存储介质150和导电层155可以通过边角涂覆性高的化学气相沉积或者镀金法而形成。
之后,如图6所示,选择性地对通过开口(图4的135)露出的导电层(图5的155)进行蚀刻,由此可以形成接地选择栅电极(groundselect gate electrode)162、控制栅电极(control gate electrode)164以及线选择栅电极(string select gate electrode)166。
一方面,与本实施例不同地,可以与硅烷(SiH4)一起供给基于乙基的气体(例如,C2H4)或者基于甲基的气体(例如,CH3),由此,绝缘层115可以是SiCO(氧化碳硅:Silicon Carbon Oxide)薄膜。由SiCO薄膜形成的绝缘层115与如上所述的牺牲层120相比具有更大的刻蚀选择比,因此在去除牺牲层120的同时可以使受损伤的绝缘层115的量达到最小。图7是表示基于乙基的气体的供给量和蒸镀的薄膜的、蚀刻率关系的图表。如图7所示,随着基于乙基的气体的供给,蒸镀的薄膜的蚀刻率减小,由此可以根据需求调整相对牺牲层120的刻蚀选择比。
图8是概略性地表示本发明一实施例的存储元件制造装置的图。如图8所示,存储元件制造装置10具有用于导入源气体或者反应气体的导入部12,源气体或者反应气体通过导入部12而被导入,并通过喷头13向腔室11内部喷射。在进行加工时,硅烷可以以1-1000sccm供给,反应气体(例如,N2O或者NH3)可以以100-50000sccm供给。一方面,如上所述,当供给基于乙基的气体(例如,C2H4)或者基于甲基的气体(例如,CH3)时,可以以50至10000sccm供给。
作为加工对象的基底15被置于基底支撑台14的上部,基底支撑台14被支撑台16支撑。进行加工的过程中,加热器14能够将基底的温度保持在300至790度,此时腔室11内部的压力可以保持在10mTorr至250Torr。完成加工的基底15通过排出部17被排出于外部。
图9是概略性地表示本发明其他实施例的存储元件制造装置的图,图10是概略性地表示图9所示的环形边的立体图。以下仅对与图8不同的部分进行说明,可以用图8的说明代替省略的说明。
如图9所示,存储元件制造装置210具备设置于腔室211的内部的基底支撑台214,基底支撑台214由支撑台216支撑。如后文所述,基底支撑台214通过另行设置的驱动部(未图示)与支撑台216一起升降,由此,转换至基底215可以出入于腔室211内部的解除位置(参照图9)和对基底215进行加工的加工位置(参照图11)。
基底215通过形成于腔室211的侧壁的排出部217出入于腔室211的内部,通过排出部217向腔室211内部移动的基底215位于基底支撑台214的上部。基底支撑台214具有大于基底215的直径,基底215位于基底支撑台214的中央。此时,基底215由贯通基底支撑台214的顶杆(lift pin)220支撑,由此保持从基底支撑台214上升分离的状态。并且,喷头213设置于基底支撑台214的上部,源气体或者反应气体通过喷头213向腔室211的内部喷射。
一方面,腔室211进一步包括真空导向部(vacuum guide)212和环形边230。真空导向部212呈圆筒状,其设置于腔室211的内部。如图10所示,环形边230呈与腔室211的内部形状相对应的环状,环形边230具备支撑部232、水平支撑部234、垂直支撑部236、以及具有施压面238a的施压部238。环形边230位于基底支撑台214和喷头213之间并置于从真空导向部212的内侧壁突出的固定突起212a上。如图9所示,当基底支撑台214处于解除位置时,环形边230位于固定突起212a上,如下文所述,当基底支撑台214转换至加工位置时,环形边230离开固定突起212a而置于基底支撑台214的上部。
图11和图12是表示图9所示的环形边的动作的图。如上所述,基底支撑台214通过驱动部(未图示)与支撑台216一起升降,由此,可以转换至解除位置和加工位置。
如图12所示,水平支撑部234从支撑部232向腔室211的侧壁延伸,垂直支撑部236从支撑部232向下部延伸。施压部238从支撑部232向腔室211的内侧向下倾斜延伸。
如图9所示,当基底支撑台214处于解除位置时,可以通过水平支撑部234和垂直支撑部236使环形边230位于固定突起212a上,水平支撑部234与固定突起212a的上面相接触,垂直支撑部236与固定突起212a的侧面相接触。此时,支撑部232和施压部238保持向腔室211的内侧突出的状态。
如图11所示,当基底支撑台214转换至加工位置时,基底支撑台214通过位于基底215外侧的环状边缘部来提升环形边230,由此,环形边230离开固定突起212a而上升。此时,如图12所示,支撑部232邻接于基底支撑台214的边缘部,施压部238与置于基底支撑台214的基底215的边缘部相接触,从而对基底215的边缘部施压。即,环形边230在置于基底支撑台214的状态下,通过自身重量对基底215的边缘部施压,施压部238具有与基底215的边缘部相接触的施压面238a。
如先前通过图1进行的说明,当在基底上交替层叠氧化硅和氮化膜时,由加工导致在氧化硅膜的应力和氮化膜的应力之间产生应力差,由此,引发基底变形(warpage,弯曲或者扭曲)。这种基底变形导致基底的边缘部从基底支撑台离开,从而基底变形成基底中心部凹陷的“U”字形。这将影响基底内的温度分布(基底中心与边缘之间)等,因此对加工均匀度(例如,蒸镀率)产生较大影响。实际可知:在完成如上所述的加工后,在基底边缘部测量的蒸镀率明显低于在基底中心部测量的蒸镀率。因此,为防止基底边缘部从基底支撑台离开而导致基底变形的现象,可以通过环形边230的施压部238对基底215的边缘部施压。
另一方面,如图12所示,被环形边230的施压部238施压的基底215的边缘部的宽度(w)可以是,从基底215的边缘向该基底215内侧约0.5mm至3mm的距离,由于该部分在实际半导体加工中并非是用于半导体器件的部分,因此不会影响半导体器件的收益率。另外,先前说明的施压面238a可以具有与边缘部相对应的宽度(w)。
如图12所示,环形边230可以只通过施压部238来保持被支撑在基底支撑台214上的状态,并且支撑部232可以保持从基底支撑台214的边缘部离开的状态(d)。在该情况下,环形边230的整体重量通过施压部238的施压面238a传递至基底215的边缘部,因此可以使环形边230的重量达到最小的同时将高的压力传递至基底215的边缘部。该原理可通过压力大小与接触面积的大小成反比的事实来理解。
产业上的可利用性
本发明可应用于各种形状的存储元件的制造方法和装置。

Claims (9)

1.一种三维结构存储元件的制造方法,其特征在于,
所述方法包括:
在基底上交替层叠一个以上绝缘层和一个以上牺牲层的步骤;
形成贯通所述绝缘层和所述牺牲层的贯通孔的步骤;
形成填充所述贯通孔的图形的步骤;
形成贯通所述绝缘层和所述牺牲层的开口的步骤;以及
通过所述开口供给蚀刻剂而去除所述牺牲层的步骤,
其中,所述层叠绝缘层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10群中的一种以上气体以及选自基于乙基的气体、基于甲基的气体群中的一种以上气体而蒸镀氧化硅膜的步骤,
所述层叠牺牲层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10、SiCl2H2群中的气体和基于氨的气体而蒸镀氮化膜的步骤,
所述氧化硅膜为SiCO,
所述蚀刻剂选自H3PO4、HF、缓冲氧化蚀刻剂BOE中的一种以上,
所述绝缘层和所述牺牲层对所述蚀刻剂具有刻蚀选择比,以及
所述牺牲层的蚀刻率大于所述绝缘层的蚀刻率。
2.权利要求1所述的三维结构存储元件的制造方法,其特征在于,所述牺牲层的蚀刻率为所述绝缘层的蚀刻率的五倍至三百倍。
3.权利要求1或2所述的三维结构存储元件的制造方法,其特征在于,所述基于氨的气体为NH3
4.权利要求1或2所述的三维结构存储元件的制造方法,其特征在于,所述基底的温度保持在300至790度,所述基底的加工压力保持在10mTorr至250Torr。
5.权利要求1或2所述的三维结构存储元件的制造方法,其特征在于,所述氧化硅膜和所述氮化膜具有互相不同的厚度。
6.权利要求1所述的三维结构存储元件的制造方法,其特征在于,所述交替层叠绝缘层和牺牲层的步骤进一步包括通过环形边对所述基底的边缘部施压的步骤。
7.权利要求6所述的三维结构存储元件的制造方法,其特征在于,所述基底的边缘部相当于从所述基底的边缘向所述基底的内侧0.5mm-3mm的范围。
8.权利要求6或7所述的三维结构存储元件的制造方法,其特征在于,所述环形边为陶瓷材料。
9.一种三维结构存储元件的制造方法,其特征在于,
所述方法包括:
在基底上交替层叠一个以上绝缘层和一个以上牺牲层的步骤;
形成贯通所述绝缘层和所述牺牲层的贯通孔的步骤;
形成填充所述贯通孔的图形的步骤;
形成贯通所述绝缘层和所述牺牲层的开口的步骤;以及
通过所述开口供给蚀刻剂而去除所述牺牲层的步骤,
其中,所述层叠绝缘层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10群中的一种以上气体以及选自基于乙基的气体、基于甲基的气体群中的一种以上气体而蒸镀氧化硅膜的步骤,
所述层叠牺牲层的步骤包括向所述基底供给选自SiH4、Si2H6、Si3H8、Si4H10、二氯硅烷SiCl2H2群中的一种以上气体和基于氨的气体、以及选自B2H6、PH3群中的一种以上气体而蒸镀注入有硼或者磷的氮化膜的步骤,
所述氧化硅膜为SiCO,
所述蚀刻剂选自H3PO4、HF、缓冲氧化蚀刻剂BOE中的一种以上,
所述绝缘层和所述牺牲层对所述蚀刻剂具有刻蚀选择比,以及
所述牺牲层的蚀刻率大于所述绝缘层的蚀刻率。
CN201180048972.2A 2010-10-14 2011-10-06 制造三维结构存储元件的方法及装置 Active CN103155138B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510007521.4A CN104674192B (zh) 2010-10-14 2011-10-06 三维结构存储元件的制造装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020100100093A KR101175148B1 (ko) 2010-10-14 2010-10-14 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
KR10-2010-0100093 2010-10-14
PCT/KR2011/007403 WO2012050322A2 (ko) 2010-10-14 2011-10-06 3차원 구조의 메모리 소자를 제조하는 방법 및 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510007521.4A Division CN104674192B (zh) 2010-10-14 2011-10-06 三维结构存储元件的制造装置

Publications (2)

Publication Number Publication Date
CN103155138A CN103155138A (zh) 2013-06-12
CN103155138B true CN103155138B (zh) 2015-08-26

Family

ID=45938775

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510007521.4A Active CN104674192B (zh) 2010-10-14 2011-10-06 三维结构存储元件的制造装置
CN201180048972.2A Active CN103155138B (zh) 2010-10-14 2011-10-06 制造三维结构存储元件的方法及装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510007521.4A Active CN104674192B (zh) 2010-10-14 2011-10-06 三维结构存储元件的制造装置

Country Status (6)

Country Link
US (1) US9396954B2 (zh)
JP (2) JP5690406B2 (zh)
KR (1) KR101175148B1 (zh)
CN (2) CN104674192B (zh)
TW (2) TWI570890B (zh)
WO (1) WO2012050322A2 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101976393B1 (ko) * 2012-09-11 2019-05-09 주식회사 테스 에칭 방법
TWI550682B (zh) * 2014-12-31 2016-09-21 旺宏電子股份有限公司 記憶體元件及其製作方法
JP6662250B2 (ja) * 2016-09-07 2020-03-11 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法及び半導体デバイスの製造方法
CN106586944B (zh) * 2016-11-28 2019-01-15 北京大学 一种二维通道结构及其制备方法
CN108538849B (zh) * 2018-01-24 2021-03-19 东芯半导体股份有限公司 一种三维堆叠的闪存结构及其制备方法
KR102536650B1 (ko) * 2018-03-16 2023-05-26 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN110289259B (zh) * 2019-06-27 2020-09-29 长江存储科技有限责任公司 3d存储器件及其制造方法
US11152388B2 (en) 2019-10-15 2021-10-19 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11335694B2 (en) 2019-12-03 2022-05-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11276701B2 (en) 2020-02-11 2022-03-15 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
CN115669261A (zh) * 2020-06-28 2023-01-31 华为技术有限公司 三维存储器及三维存储器的制备方法
US11545430B2 (en) 2020-08-28 2023-01-03 Micron Technology, Inc. Integrated circuitry and method used in forming a memory array comprising strings of memory cells

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764096A (zh) * 2008-12-24 2010-06-30 海力士半导体有限公司 垂直沟道型非易失性存储器件及其制造方法
CN101764134A (zh) * 2008-11-25 2010-06-30 三星电子株式会社 三维半导体存储装置及其操作方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
KR100243784B1 (ko) * 1990-12-05 2000-02-01 조셉 제이. 스위니 웨이퍼의 전방부 모서리와후방부에서의 증착을 방지하는 cvd웨이퍼 처리용 수동 실드
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
US5810931A (en) * 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6777764B2 (en) * 2002-09-10 2004-08-17 Macronix International Co., Ltd. ONO interpoly dielectric for flash memory cells and method for fabricating the same using a single wafer low temperature deposition process
JP2004319814A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置及びその製造方法
KR20040103648A (ko) * 2003-05-30 2004-12-09 삼성전자주식회사 반도체 기판지지 척 및 박막 증착 장치
KR100612557B1 (ko) * 2003-12-29 2006-08-11 에스티마이크로일렉트로닉스 엔.브이. 반도체 소자의 제조 방법
JP4664688B2 (ja) * 2005-01-14 2011-04-06 東芝メモリシステムズ株式会社 工業製品の製造方法
KR20080105525A (ko) * 2007-05-31 2008-12-04 주성엔지니어링(주) 실리콘을 포함한 박막 형성방법
JP2008300643A (ja) * 2007-05-31 2008-12-11 Fujitsu Microelectronics Ltd 半導体装置の製造方法
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP5751754B2 (ja) * 2008-02-19 2015-07-22 東京エレクトロン株式会社 成膜方法および記憶媒体
JP5416936B2 (ja) * 2008-09-02 2014-02-12 株式会社東芝 半導体装置およびその製造方法
US8148763B2 (en) 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101200488B1 (ko) 2008-12-24 2012-11-12 에스케이하이닉스 주식회사 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
KR101573697B1 (ko) * 2009-02-11 2015-12-02 삼성전자주식회사 수직 폴딩 구조의 비휘발성 메모리 소자 및 그 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764134A (zh) * 2008-11-25 2010-06-30 三星电子株式会社 三维半导体存储装置及其操作方法
CN101764096A (zh) * 2008-12-24 2010-06-30 海力士半导体有限公司 垂直沟道型非易失性存储器件及其制造方法

Also Published As

Publication number Publication date
KR20120038578A (ko) 2012-04-24
TW201432885A (zh) 2014-08-16
CN104674192B (zh) 2018-06-15
US20130178066A1 (en) 2013-07-11
TW201232762A (en) 2012-08-01
JP2014500609A (ja) 2014-01-09
WO2012050322A3 (ko) 2012-06-28
JP5836431B2 (ja) 2015-12-24
CN103155138A (zh) 2013-06-12
TWI524508B (zh) 2016-03-01
CN104674192A (zh) 2015-06-03
JP2014179656A (ja) 2014-09-25
KR101175148B1 (ko) 2012-08-20
JP5690406B2 (ja) 2015-03-25
US9396954B2 (en) 2016-07-19
TWI570890B (zh) 2017-02-11
WO2012050322A2 (ko) 2012-04-19

Similar Documents

Publication Publication Date Title
CN103155139B (zh) 制造三维结构存储元件的方法及装置
CN103155138B (zh) 制造三维结构存储元件的方法及装置
US9553100B2 (en) Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9379134B2 (en) Semiconductor memory devices having increased distance between gate electrodes and epitaxial patterns and methods of fabricating the same
US20190136132A1 (en) Composition for etching, method of etching silicon nitride layer, and method for manufacturing semiconductor device
CN102254866A (zh) 半导体结构的形成方法
CN112420716B (zh) 一种半导体器件及其制备方法
CN106941103A (zh) Nand存储器的形成方法
US20160336328A1 (en) Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
US20140261186A1 (en) Method and apparatus for manufacturing three-dimensional-structure memory device
JP2002343743A (ja) 半導体素子のコンタクトプラグ形成方法
CN109326507B (zh) 存储器的形成方法
CN108962903B (zh) 半导体结构
CN103681444A (zh) 一种浅沟槽隔离结构及其制作方法
KR102065475B1 (ko) 반도체 소자 및 이의 제조 방법
CN102487032A (zh) 浅沟槽隔离结构的形成方法
CN107481926A (zh) 一种金属钨的填充方法
CN112750753B (zh) 半导体器件及其制作方法
JP2013105812A (ja) シリコン膜の形成方法及び半導体装置の製造方法
CN117241585A (zh) 分栅闪存器件及其制备方法
CN104340951A (zh) 一种运动传感器的制备方法
KR20080109151A (ko) 반도체 소자의 제조방법
KR20120090894A (ko) 3차원 구조의 메모리 소자를 제조하는 장치
CN102931080A (zh) 一种锗硅异质结双极晶体管的制造方法
CN102931079A (zh) 一种锗硅异质结双极晶体管的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant