CN102369422A - 具有连接检测电路的热电偶温度传感器 - Google Patents

具有连接检测电路的热电偶温度传感器 Download PDF

Info

Publication number
CN102369422A
CN102369422A CN2010800143791A CN201080014379A CN102369422A CN 102369422 A CN102369422 A CN 102369422A CN 2010800143791 A CN2010800143791 A CN 2010800143791A CN 201080014379 A CN201080014379 A CN 201080014379A CN 102369422 A CN102369422 A CN 102369422A
Authority
CN
China
Prior art keywords
cold junction
temperature
signal
thermopair
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800143791A
Other languages
English (en)
Other versions
CN102369422B (zh
Inventor
罗伯特·J·卡辛斯基
查里斯·E·格茨辛格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rosemount Inc
Original Assignee
Rosemount Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rosemount Inc filed Critical Rosemount Inc
Publication of CN102369422A publication Critical patent/CN102369422A/zh
Application granted granted Critical
Publication of CN102369422B publication Critical patent/CN102369422B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/026Arrangements for signalling failure or disconnection of thermocouples

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Arrangements For Transmission Of Measured Signals (AREA)

Abstract

一种装置,包括热电偶、冷接点传感器和电路。热电偶具有过程端和冷接点端。冷接点端具有第一和第二冷接点端子。冷接点传感器被支撑在冷接点端附近,被配置为测量冷接点端处的温度。电路与冷接点传感器、第一和第二冷接点端子电连接。电路被配置根据第一和第二冷接点端子上电压生成热电偶信号,以及根据冷接点传感器测量的冷接点端的温度生成冷接点传感器信号。电路还被配置为计算热电偶信号和冷接点传感器信号之间的相关度。

Description

具有连接检测电路的热电偶温度传感器
背景技术
本发明涉及热电偶,具体地,涉及热电偶连接。热电偶是广泛应用的温度传感器类型。基本上,热电偶包括由不同金属制成正引线和负引线,正引线和负引线的一端(通常称作过程端、过程接点、热端、热接点或主接点)耦合在一起。另一端通常称作冷端、或冷接点。典型地,热接点暴露于具有未知温度的环境中,冷接点暴露于具有已知温度的环境中。然后,测量冷接点上的电压。
以这种方式测量冷接点上的电压指示了未知温度和已知温度之间的温度差。这是因为任何金属在暴露于热梯度时都会产生电压。指定的金属倾向于根据热梯度幅度产生可预测的电压;而不同的金属在暴露于相同的梯度时产生不同的电压。因此,由两种不同金属制成的热电偶会在热接点和冷接点之间产生两个不同的电压。因此,从一个冷接点端到另一冷接点端的可测量电压降可靠地与未知温度和已知温度之间的温差相对应。
热电偶测量的电压必然依赖于为正引线和负引线选择何种金属。例如,K型热电偶对正电极使用铬镍合金,而对负电极使用阿留麦尔镍基合金。可以取而代之地使用其它金属;但是每种金属的性质必须是已知的,以获知如何将所测量的电压转换为温度。
在传统应用中,将热电偶的冷接点保持在恒定的已知温度,例如,0摄氏度。当冷接点在温度可以改变的环境中时,可以使用另一热敏器件(例如,热敏电阻、二极管和电阻式温度检测器)来测量冷接点温度。可以对热电偶执行的每个测量应用适当的校正,以针对冷接点处变化的温度而进行调整。该技术一般称为冷接点补偿。
发明内容
根据本发明,一种装置包括热电偶、冷接点传感器和电路。热电偶具有过程端和冷接点端。冷接点端具有第一和第二冷接点端子。冷接点传感器被支撑在冷接点端附近,并且被配置为测量冷接点端处的温度。电路与冷接点传感器、第一和第二冷接点端子电连接。电路被配置为根据第一冷接点端子和第二冷接点端子上的电压来生成热电偶信号,以及根据冷接点传感器测量的冷接点端的温度来生成冷接点传感器信号。电路还被配置为计算热电偶信号和冷接点传感器信号之间的相关度。还包括了使用该装置的方法。
附图说明
图1是温度传感器的示意图。
图2是热电偶测量图。
图3是测量相关度图。
具体实施方式
一般说来,本发明提供了一种用于确定热电偶的正引线和负引线是否与温度感测电路正确连接的装置和方法。
图1是温度传感器10的示意图。温度传感器10包括热电偶(TC)正引线12、TC负引线14、正冷接点(CJ)端子16、负CJ端子18、过程端20、冷接点补偿器(CJC)电路22、CJC正引线24、CJC负引线26、CJ温度传感器28、输出电路30、输出连接32、变送器34、变送器连接36和用户接口38。TC正引线12与TC负引线14在过程端20耦合。过程端20可以暴露于期望知道温度的过程环境中。过程端20通常还被称为“主接点”和“热接点”。TC正引线12还与正CJ端子16耦合,TC负引线14还与负CJ端子18耦合。CJC电路22经由CJC正连接24与正CJ端子16相连,经由CJC负连接26与负CJ端子18相连。正CJ端子16和负CJ端子18一起被称作冷接点。输出电路30经由输出连接32与CJC电路22相连。在所示的实施例中,正CJ端子16、负CJ端子18、过程端20、CJC电路22、CJC正引线24、CJC负引线26、CJ温度传感器28、输出电路30和输出连接32是变送器34的一部分。
实质上,TC正引线12可以由适于针对热电偶目的而用作正引线的任何导电材料(例如,铬镍合金)制成。实质上,TC负引线14可以由适于用作与为TC正引线12选择的材料配对的负引线的任何导电材料(例如,阿留麦尔镍基合金)制成。TC正引线12和TC负引线14一起包括热电偶。CJC电路22可以测量正CJ端子16和负CJ端子18之间的电压。CJC电路22还使用CJ温度传感器28来测量冷接点处的温度。CJ温度传感器28是热敏器件,例如,热敏电阻、二极管或电阻式温度器件。CJC电路22然后基于冷接点的温度计算校正电压。CJC电路22经由输出连接32将特定信号传递给输出电路30。这些信号包括:对在正CJ端子16和负CJ端子18之间测量的电压加以表示的信号,以及表示校正电压的信号。
基于来自CJC电路22的信号,输出电路30可以计算多种温度,包括冷接点的绝对温度、冷接点和过程端20之间的温差以及过程端20的绝对温度。可以使用任何常见的技术(例如,多项式插值法或通过查阅查找表中的值)来确定这些温度值。变送器连接36将变送器34电连接至用户接口38。在示出实施例中,输出电路30通过变送器连接36与用户接口38相连,所述变送器连接36可以是有线连接或无线连接。用户接口38可以基于从输出电路30接收的信号来显示由CJC电路22和输出电路30计算的温度值。在一个实施例中,用户接口38可以是能够显示数字温度值的图形用户界面。在其他的实施例中,用户接口38可以是能够向用户传达信息的几乎任何用户接口。
这些温度值的精度依赖于以下因素:例如,为TC正引线12和TC负引线14所选的材料,以及为CJ温度传感器28所选的热敏器件。此外,精度还依赖于适当连接的温度传感器10的所有部分。例如,温度传感器10在以下假定成立的情况下工作:TC正引线12与正CJ端子16耦合,TC负引线14也与负CJ端子18耦合。如果这些引线意外与错误的端子相连,则正CJ端子16与负CJ端子18之间的电压降将与正确连接的温度传感器的情况相反。在这种情况下,输出电路30可能针对过程端20计算出不精确的温度值。在一些情形下,用户可能并知道温度值不精确。
图2示出了热电偶测量图40。热电偶测量图40绘制了随时间的温度值。实线42表示在过程端20处相对于时间的实际过程温度。在所示实施例中,实际过程温度在大约60小时的过程中以线性速率从大约100摄氏度(C)升高到大约200摄氏度。TC线44表示:当温度传感器10的所有部分均被适当连接时,相对于时间的测量温度差(过程端20的温度减去冷接点的温度)。在所示实施例中,测量温度差在大约60小时的过程中以变化的速率从大约100摄氏度升高到大约200摄氏度。CJ线46表示冷接点的相对于时间的测量温度。在所示实施例中,冷接点的测量温度在大约60小时的过程中在零摄氏度附近波动。反TC线48表示:当温度传感器10被反接时(即,当TC负引线14与正CJ端子16耦合,TC正端子12与负CJ端子18耦合时),相对于时间,过程端20与冷接点之间的错误地测量的温度差。在所示的实施例中,错误地测量的温度差表现为在大约60小时的过程中以变化的速率从大约-100摄氏度下降到大约-200摄氏度。实际上,反TC线48是TC线44的负。
从热电偶测量图40可以看出,TC线44具有与CJ线46的反相关度。当冷接点的温度增长时,温度差必然下降。这还可以由等式示出:(实际过程温度)-(CJ温度)=(测量的TC温度差)。从热电偶测量图40还可以看出,反TC线48具有与CJ线46的正相关度。这还可以由等式示出:-[(实际过程温度)-(CJ温度)]=(反测量的TC温度差)。因此,通过对冷接点温度和测量的TC温度差之间的相关度进行比较,可以清楚热电偶是否被正确连接。
图3示出了测量相关度图50。测量相关度图50绘制了关于时间的、在测量的冷接点温度与测量的温度差之间的相关度。正确线52表示当温度传感器10被正确连接时的相关度。在所示的实施例中,正确线52具有在大约10小时的过程中在0和-1之间波动的值。反线54表示当温度传感器10被反接时(即,当TC负引线14与正CJ端子16耦合,TC正引线12与负CJ端子18耦合时)的相关度。在所示实施例中,反线54具有在大约10小时的过程中在0和1之间波动的值。断路线56表示当温度传感器10具有断路时(即,当正CJ端子16或负CJ端子18不与TC正引线12或TC负引线14相连时)的相关度。在所示实施例中,断路线56具有在大约10小时的过程中近似0的值。
输出电路30可以基于从CJC电路22接收的数据信号,来计算随时间的相关度。可以以两种方式之一来测量相关度。首先,可以作为在冷接点处测量的温度的时间变化率与正CJ端子16和负CJ端子18之间的电压的时间变化率之间的相关度,来测量相关度。其次,可以作为在冷接点处测量的温度的时间变化率与冷接点和过程端之间的温度差的时间变化率之间的相关度,来测量相关度。由于冷接点和过程端之间的温度差直接与正CJ端子16和负CJ端子18之间的电压对应,所以这些相关度中的任一个都可以是有用的。
测量相关度必然需要冷接点处的至少某种程度的温度变化。典型地,这种变化自然地发生,尤其是在延长的时间段内。在冷接点处的温度变化与过程端20处的温度变化相比相对较大的设置下,可以提高该方法的精度。冷接点处的温度变化越大,那么这种变化对以下操作的作用就越大:改变温度差,使相关度值更接近-1或1,从而提高关于温度传感器10是否被正确连接的结论的置信度。相关度越接近-1,温度传感器10被正确连接的可信度越高。相关度越接近1,温度传感器10被反接的可信度越高。相关度值始终为0指示断路。相关度值接近0指示非决定性测试或传感器故障。
输出电路30可以驱动用户接口38,以向用户通知连接的状态。在一个实施例中,用户接口38可以显示表示相关度-时间的图,例如测量相关度图50。在另一个实施例中,用户接口38可以显示数字相关度值。在其它实施例中,用户接口38可以使用相关度信息来向用户提供关于连接状态的结论性信号。在一个实施例中,用户接口38可以提供指示正确连接、错误连接或无连接的三个信号之一。可以在提供这种信号之前设置相关度阈值。例如,用户接口38可以只要相关度降到零以下就提供指示正确连接的信号,或者仅当相关度降到小于零的某一预定值以下时才提供指示正确连接的信号。此外,用户接口38可以只要相关度降到预定阈值以下较短时间就提供指示正确连接的信号,可以在仅当相关度降到预定阈值以下预定的时间段时才提供指示正确连接的信号,或者可以在仅当平均相关度降到预定阈值以下预定的时间段时才提供指示正确连接的信号。当冷接点温度足够频繁地变化时,可以在10分钟或更少时间内完成相关。以类似于提供指示正确连接的信号的方式,用户接口38还可以提供指示错误接线的信号,不同之处在于使用正阈值。以类似于提供指示正确连接的信号的方式,用户接口38还可以提供指示无接线的信号,不同之处在于使用正阈值和负阈值。
如果用户接口38指示温度传感器10是反接的,则用户可以切换TC正引线12或者TC负引线以与正确端子相连。类似地,如果用户接口38指示断路,则用户可以重新连接引线。如果用户接口38指示温度传感器10是正确连接的,则用户可以对温度传感器10测量的温度值更加相信。
应该认识到本发明提供许多的益处和优点。例如,使温度传感器的用户可以确信热电偶确实被正确连接。此外,当温度传感器被反接时,可以通知用户出错。这防止用户信任错误的温度数据,并且允许用户纠正不适当的连接。此外,当热电偶的引线断开或者被破坏时,可以向用户警告错误情况。在测量温度与冷接点所处的第二环境的温度接近的第一环境时,所有这些优点可以尤为有用。
尽管已经参考优选实施例描述了本发明,但是本领域技术人员应该理解,在不背离本发明精神和范围的情况下,可以进行形式和细节上的改变。例如,相关度计算不需要实际发生在变送器中。为此,可以使用能够计算冷接点温度与所测量的TC温度差之间的随时间的相关度的任何电路。

Claims (20)

1.一种对热电偶(12,14)的操作加以监视的方法,所述热电偶(12,14)与变送器(34)相连,所述方法包括:
利用热电偶(12,14)感测温度,以根据热电偶的热接点(20)的温度和热电偶(12,14)的冷接点(16,18)的温度,生成热电偶信号(44);
利用冷接点温度传感器(28)感测温度,以根据冷接点(16,18)的温度生成冷接点传感器信号(46);
使热电偶信号(44)和冷接点传感器信号(46)相关,以生成热电偶(12,14)是否与变送器(34)正确相连的指示。
2.如权利要求1所述的方法,还包括:
向用户提供指示的信号。
3.如权利要求2所述的方法,其中,信号是所显示的相关度-时间图(50)。
4.如权利要求1所述的方法,还包括:
响应于正相关度,向用户提供第一信号;以及
响应于负相关度,向用户提供第二信号。
5.如权利要求4所述的方法,还包括:
响应于近似0的相关度,向用户提供第三信号。
6.如权利要求1所述的方法,还包括:
计算热电偶信号(44)与冷接点传感器信号(46)的平均相关度值;以及
响应于平均相关度值超过预定正值,向用户提供第一信号。
7.如权利要求6所述的方法,还包括:
响应于第一信号,将热电偶(12,14)重新连接至变送器(34),使得先前与变送器(34)的第一端子(16)相连的热电偶(12,14)的第一冷接点端现在与变送器(34)的第二端子(18)相连,先前与第二端子(18)相连的热电偶(12,14)的第二冷接点端现在与第一端子(16)相连。
8.如权利要求6所述的方法,其中,当第二温度改变时,在时间段上计算平均相关度值。
9.如权利要求8所述的方法,其中,时间段超过大约10分钟。
10.如权利要求8所述的方法,其中,预定正值在0和1之间。
11.如权利要求8所述的方法,还包括:
响应于第一信号,将热电偶(12,14)重新连接至变送器(34),使得先前与变送器(34)的第一端子(16)相连的热电偶(12,14)的第一冷接点端现在与变送器(34)的第二端子(18)相连,先前与第二端子(18)相连的热电偶(12,14)的第二冷接点端现在与第一端子(16)相连。
12.如权利要求8所述的方法,还包括:
响应于平均相关度值小于预定负值,向用户提供第二信号。
13.如权利要求12所述的方法,还包括:
响应于平均相关度值在预定正值和预定负值之间,向用户提供第三信号。
14.如权利要求1所述的方法,还包括:
向用户提供温度信号,温度信号表示基本上与热接点(20)的温度相等的温度值。
15.一种将热电偶(12,14)连接至变送器(34)的方法,所述方法包括:
将热电偶(12,14)的第一冷接点端和第二冷接点端分别连接至变送器(34)的第一端子(16)和第二端子(18);
将热电偶(34)的过程端(20)暴露在具有第一温度的第一环境中,将热电偶(12,14)的冷接点端暴露在具有第二温度的第二环境中;
利用热电偶(12,14)感测温度,以根据第一温度和第二温度之差,生成热电偶信号(44);
利用冷接点温度传感器(28)感测温度,以根据第二温度生成冷接点传感器信号(46);
如果在冷接点传感器信号(46)和热电偶信号(44)之间存在正相关度,则将热电偶(12,14)重新连接至变送器(34),使得将第一冷接点端与第二端子(18)相连,而将第二冷接点端与第一端子(16)相连。
16.一种装置,包括:
热电偶(12,14),具有过程端(20)和冷接点端(16,18),其中,冷接点端(16,18)具有第一冷接点端子(16)和第二冷接点端子(18);
冷接点传感器(28),被支撑在冷接点端(16,18)附近,并且被配置为测量冷接点端(16,18)处的温度;以及
电路(22,30),与冷接点传感器(28)电连接,并且与第一冷接点端子(16)和第二冷接点端子(18)电连接,其中,电路(22,30)被配置为:
根据第一冷接点端子(16)和第二冷接点端子(18)上的电压,生成热电偶信号(44),
根据冷接点传感器(28)测量的冷接点端(16,18)的温度,生成冷接点传感器信号(46),以及
计算热电偶信号(44)和冷接点传感器信号(46)之间的相关度。
17.如权利要求16所述的装置,还包括:
与电路(22,30)电连接的用户接口(38)。
18.如权利要求17所述的装置,其中,电路(22,30)被配置为驱动用户接口(38)以产生对热电偶(12,14)是否与电路(22,30)正确相连加以指示的信号。
19.如权利要求17所述的装置,其中,电路(22,30)被配置为向用户接口(38)发送相关度数据和温度数据。
20.如权利要求17所述的装置,其中,电路(22,30)包括冷接点补偿器(22)。
CN2010800143791A 2009-03-31 2010-03-31 具有连接检测电路的热电偶温度传感器 Active CN102369422B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/384,011 2009-03-31
US12/384,011 US8118484B2 (en) 2009-03-31 2009-03-31 Thermocouple temperature sensor with connection detection circuitry
PCT/US2010/000968 WO2010117427A1 (en) 2009-03-31 2010-03-31 Thermocouple temperature sensor with connection detection circuitry

Publications (2)

Publication Number Publication Date
CN102369422A true CN102369422A (zh) 2012-03-07
CN102369422B CN102369422B (zh) 2013-05-22

Family

ID=42784193

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800143791A Active CN102369422B (zh) 2009-03-31 2010-03-31 具有连接检测电路的热电偶温度传感器

Country Status (6)

Country Link
US (1) US8118484B2 (zh)
EP (1) EP2414797B1 (zh)
JP (1) JP5735484B2 (zh)
CN (1) CN102369422B (zh)
BR (1) BRPI1014789A2 (zh)
WO (1) WO2010117427A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107436200A (zh) * 2017-07-31 2017-12-05 北京临近空间飞行器系统工程研究所 一种基于热电偶传感器的温度测量通路地面测试方法
CN108027285A (zh) * 2015-08-20 2018-05-11 恩德莱斯+豪瑟尔韦泽尔有限商业两合公司 具有基准温度确定的温度测量装置
CN108369427A (zh) * 2015-12-17 2018-08-03 霍尼韦尔国际公司 缓解控制系统中的tc/rtd的冷接点中的急剧环境温度扰动的系统和方法
TWI635263B (zh) * 2013-03-12 2018-09-11 蘭姆研究公司 用於三維溫度梯度之多通道熱電偶補償
CN111971537A (zh) * 2018-04-19 2020-11-20 吉奥马科技有限公司 温度校正装置以及温度计测装置
CN113267265A (zh) * 2021-05-21 2021-08-17 中国联合重型燃气轮机技术有限公司 燃气轮机的燃气温度测量系统、燃气轮机和温度测量方法
US20210302240A1 (en) * 2020-03-27 2021-09-30 Yokogawa Electric Corporation Diagnostic device and diagnostic method

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9429478B2 (en) * 2012-02-10 2016-08-30 Extron Company Thermocouple with integrity monitoring
DE102012003407B4 (de) * 2012-02-23 2013-10-24 Phoenix Contact Gmbh & Co. Kg Temperaturmess-Modul mit Lagekompensation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014109303A (ja) * 2012-11-30 2014-06-12 Jfe Steel Corp シャーピン、シャーピン折損検出装置、及びシャーピン折損検出方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140226695A1 (en) * 2013-02-13 2014-08-14 Unison Industries, Llc Embedded Resistance Temperature Detector Assembly
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9360377B2 (en) 2013-12-26 2016-06-07 Rosemount Inc. Non-intrusive temperature measurement assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11226242B2 (en) 2016-01-25 2022-01-18 Rosemount Inc. Process transmitter isolation compensation
WO2017131546A1 (en) 2016-01-25 2017-08-03 Rosemount Inc. Non-intrusive process fluid temperature calculation system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11067520B2 (en) 2016-06-29 2021-07-20 Rosemount Inc. Process fluid temperature measurement system with improved process intrusion
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US11226255B2 (en) 2016-09-29 2022-01-18 Rosemount Inc. Process transmitter isolation unit compensation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7368462B2 (ja) 2018-09-28 2023-10-24 ローズマウント インコーポレイテッド 誤差が減少した非侵襲的プロセス流体温度表示
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11946815B2 (en) * 2021-07-22 2024-04-02 Eurotherm Limited Removable PCB terminal block cold junction compensation
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166243A (en) * 1978-04-21 1979-08-28 General Motors Corporation Thermocouple failure detector
CN200962059Y (zh) * 2005-12-05 2007-10-17 黄勤 热电偶无源测温装置及能测温的无烟锅
US20080013598A1 (en) * 2006-07-13 2008-01-17 Usa As Represented By The Administrator Of The National Aeronautics And Space Administration Self-Validating Thermocouple
JP2008107307A (ja) * 2005-11-17 2008-05-08 Mitsuteru Kimura 電流検出型熱電対等の校正方法、電流検出型熱電対、赤外線センサおよび赤外線検出装置
CN101198849A (zh) * 2005-06-21 2008-06-11 恩德莱斯+豪瑟尔韦泽尔有限商业两合公司 用于确定和/或监控温度的装置和方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2647237A (en) 1950-11-17 1953-07-28 Bogue Elec Mfg Co Thermocouple testing system
US3921453A (en) * 1974-03-08 1975-11-25 Chrysler Corp Thermocouple system having a PN junction for cold junction compensation
JPS58138047U (ja) * 1982-03-12 1983-09-17 川惣電機工業株式会社 熱電対の検査回路
JPS60107534A (ja) * 1983-11-16 1985-06-13 Chino Works Ltd 熱電対入力回路
US4623266A (en) 1985-09-24 1986-11-18 Rosemount Inc. Cold junction compensation for thermocouple
JP2504425B2 (ja) * 1986-10-09 1996-06-05 日本フエンオール 株式会社 温度調節器
US4936690A (en) * 1989-05-31 1990-06-26 Rosemount Inc. Thermocouple transmitter with cold junction compensation
US5499023A (en) 1992-05-27 1996-03-12 Kaye Instruments, Inc. Method of and apparatus for automated sensor diagnosis through quantitative measurement of one of sensor-to-earth conductance or loop resistance
JPH09198147A (ja) * 1996-01-23 1997-07-31 Omron Corp 温度調節器
JPH11152637A (ja) 1997-11-14 1999-06-08 Murata Mach Ltd 繊維機械におけるヒータ制御装置
US6344747B1 (en) 1999-03-11 2002-02-05 Accutru International Device and method for monitoring the condition of a thermocouple
US6983223B2 (en) 2003-04-29 2006-01-03 Watlow Electric Manufacturing Company Detecting thermocouple failure using loop resistance
US7131768B2 (en) * 2003-12-16 2006-11-07 Harco Laboratories, Inc. Extended temperature range EMF device
US7658539B2 (en) 2006-12-04 2010-02-09 Rosemount Inc. Temperature sensor configuration detection in process variable transmitter
JP5336042B2 (ja) * 2006-12-18 2013-11-06 オークマ株式会社 工作機械における温度センサの異常検知方法
US8702306B2 (en) * 2007-09-21 2014-04-22 Siemens Industry, Inc. Systems, devices, and/or methods for managing a thermocouple module
US8757874B2 (en) * 2010-05-03 2014-06-24 National Instruments Corporation Temperature sensing system and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166243A (en) * 1978-04-21 1979-08-28 General Motors Corporation Thermocouple failure detector
CN101198849A (zh) * 2005-06-21 2008-06-11 恩德莱斯+豪瑟尔韦泽尔有限商业两合公司 用于确定和/或监控温度的装置和方法
JP2008107307A (ja) * 2005-11-17 2008-05-08 Mitsuteru Kimura 電流検出型熱電対等の校正方法、電流検出型熱電対、赤外線センサおよび赤外線検出装置
CN200962059Y (zh) * 2005-12-05 2007-10-17 黄勤 热电偶无源测温装置及能测温的无烟锅
US20080013598A1 (en) * 2006-07-13 2008-01-17 Usa As Represented By The Administrator Of The National Aeronautics And Space Administration Self-Validating Thermocouple

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI635263B (zh) * 2013-03-12 2018-09-11 蘭姆研究公司 用於三維溫度梯度之多通道熱電偶補償
CN108027285A (zh) * 2015-08-20 2018-05-11 恩德莱斯+豪瑟尔韦泽尔有限商业两合公司 具有基准温度确定的温度测量装置
CN108369427A (zh) * 2015-12-17 2018-08-03 霍尼韦尔国际公司 缓解控制系统中的tc/rtd的冷接点中的急剧环境温度扰动的系统和方法
CN107436200A (zh) * 2017-07-31 2017-12-05 北京临近空间飞行器系统工程研究所 一种基于热电偶传感器的温度测量通路地面测试方法
CN111971537A (zh) * 2018-04-19 2020-11-20 吉奥马科技有限公司 温度校正装置以及温度计测装置
US20210302240A1 (en) * 2020-03-27 2021-09-30 Yokogawa Electric Corporation Diagnostic device and diagnostic method
US11913844B2 (en) * 2020-03-27 2024-02-27 Yokogawa Electric Corporation Diagnostic device and diagnostic method
CN113267265A (zh) * 2021-05-21 2021-08-17 中国联合重型燃气轮机技术有限公司 燃气轮机的燃气温度测量系统、燃气轮机和温度测量方法

Also Published As

Publication number Publication date
US8118484B2 (en) 2012-02-21
EP2414797A4 (en) 2013-11-20
CN102369422B (zh) 2013-05-22
JP5735484B2 (ja) 2015-06-17
US20100246630A1 (en) 2010-09-30
EP2414797A1 (en) 2012-02-08
WO2010117427A1 (en) 2010-10-14
JP2012522247A (ja) 2012-09-20
EP2414797B1 (en) 2017-10-04
BRPI1014789A2 (pt) 2016-04-19

Similar Documents

Publication Publication Date Title
CN102369422B (zh) 具有连接检测电路的热电偶温度传感器
RU2521746C1 (ru) Передатчик параметров процесса с определением полярности термопары
RU2719269C1 (ru) Датчик теплового потока
KR101704222B1 (ko) 열전대를 이용한 온도 측정 장치의 온도 드리프트 보정 방법
JP2012522247A5 (zh)
JP2012063355A (ja) 熱電対接続用の一体型冷接点補償回路
JP2000517421A (ja) 自己検証温度センサー
EP3070446B1 (en) Thermo wire testing circuit and method
CN108027285A (zh) 具有基准温度确定的温度测量装置
WO2018156383A1 (en) Process transmitter isolation compensation
CN105587679A (zh) 一种基于Pt100的矿井通风机温度巡检仪
JP5437654B2 (ja) 温度測定装置
EP3052910B1 (en) Infrared sensor
CN104457797A (zh) 确定物理的和/或化学的、随温度变化的过程变量的方法
CN203929241U (zh) 一种数字光电温度传感器
US20240142315A1 (en) Modified Thermocouple Assembly
CN103353354A (zh) 通过pn结温度传感器对电力变压器温度的监测方法
Love Temperature Measurement
Johansen Warming up to accurate temperature measurement
Thermocouple The purpose of this application note is to explore the more common temperature measurement techniques, and introduce procedures for improving their accuracy.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant