CN101150086A - 形成半导体装置的隔离层的方法 - Google Patents

形成半导体装置的隔离层的方法 Download PDF

Info

Publication number
CN101150086A
CN101150086A CNA2007100022903A CN200710002290A CN101150086A CN 101150086 A CN101150086 A CN 101150086A CN A2007100022903 A CNA2007100022903 A CN A2007100022903A CN 200710002290 A CN200710002290 A CN 200710002290A CN 101150086 A CN101150086 A CN 101150086A
Authority
CN
China
Prior art keywords
ion implantation
groove
substrate
implantation technology
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100022903A
Other languages
English (en)
Other versions
CN100539068C (zh
Inventor
咸哲荣
郭鲁烈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101150086A publication Critical patent/CN101150086A/zh
Application granted granted Critical
Publication of CN100539068C publication Critical patent/CN100539068C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本发明提供了一种形成半导体装置的隔离结构的方法,包括向半导体衬底掺杂第一类型的掺杂剂以在衬底中形成掺杂区。掩模层提供在衬底和衬底的掺杂区上。掩模层被构图以暴露衬底的隔离区,该隔离区限定有源区,该隔离区和有源区至少部分限定在掺杂区中。第二类型的掺杂剂在由隔离区限定的有源区边缘注入。半导体衬底的隔离区被蚀刻以形成具有延伸到掺杂区深度以下的深度的隔离沟槽。第三类型的掺杂剂注入到沟槽侧壁上,使得提供在隔离沟槽侧壁上的第二类型的掺杂剂从侧壁的迁移最少。该沟槽被介电层填充以形成隔离结构。

Description

形成半导体装置的隔离层的方法
技术领域
本发明涉及一种形成半导体装置的方法,且具体而言,涉及一种形成半导体装置的隔离层的方法,其中进行氟离子注入工艺以保护沟槽侧壁,防止硼迁移并减少漏电流。
背景技术
近年来,当构造高度集成的闪存装置时,通过自对准浅沟槽隔离(SA-STI)方案形成隔离层,通过该方案可以方便地形成器件结构,防止对隧穿氧化物层的破坏,且能够容易地获得器件特性,因此提高器件特性。
通常,在NAND闪存器件中,在半导体衬底中形成沟槽之后,绝缘层形成在沟槽中以间隙填充该沟槽。高密度等离子体(HDP)氧化物层(即,绝缘层)主要用作间隙填充该沟槽的间隙填充材料。
然而,随着器件缩小,由于HDP氧化物层而在沟槽内空隙(void)和/或裂痕。为了防止此问题,当沟槽被间隙填充时,使用聚硅氮烷(polysilazane,PSZ)(即,旋涂式电介质(SOD)材料)。
然而,如果PSZ用作间隙填充材料,由于该材料的热机械性质引起的张力,沟槽的侧壁可能变得受到过度的应力。该应力还引起硼掺杂剂从沟槽迁移出,这导致注入到沟槽侧壁的硼掺杂剂的损失。
此外,因为沟槽形成工艺,硼(B)掺杂剂从沟槽侧面耗尽。因此,在HVNMOS晶体管中漏电流增加。
图1A和1B是示出当用HDP氧化物层或PSZ填充沟槽时应力和硼(B)的流动的图。
从图1A可以看出,当用PSZ间隙填充沟槽时,在沟槽中产生张应力,且硼从沟槽移出。从图1B可以看出,当用HDP氧化物层间隙填充沟槽时,在沟槽中产生压应力,且硼朝沟槽移动。
图2A是示出在进行退火工艺之后硼浓度随距离沟槽表面深度而变化的曲线图。使用二次离子质谱(SIMS)测量该浓度。
参考图2A,曲线“a”是仅向沟槽中注入砷(As)而没有退火工艺时的曲线。曲线“b”是在沟槽上进行离子注入工艺之后进行采用HDP氧化物层的沟槽间隙填充工艺和离子注入工艺时的曲线。曲线“c”是在沟槽上进行离子注入工艺之后进行采用PSZ的沟槽间隙填充工艺和退火工艺时的曲线。曲线“d”是在进行离子注入工艺以形成阱之后进行激活注入离子的退火工艺时的曲线。X轴相应于深度,且Y轴相应于浓度。
曲线“b”和“c”具有相同工艺条件,但用于间隙填充沟槽的材料不同。提供曲线“a”和“d”用于比较曲线“b”和“c”。曲线“d”具有比其余的曲线更高程度的硼(B)活性,因为退火工艺在100摄氏度的温度下进行。
比较曲线“b”和“c”,曲线“b”具有比曲线“c”更高的在沟槽表面上的硼(B)浓度。随着深度加深,该趋势相反,从而曲线“c”比曲线“b”浓度高。可以看出使用PSZ的曲线“c”比使用HDP氧化物层的曲线“b”具有更高的在沟槽表面上的硼浓度。
图2B是示出在进行退火工艺之后硼浓度随距离沟槽表面的深度变化的曲线图。使用扩散电阻探针(SRP)测量该浓度。
参考图2B,曲线“e”是在沟槽上进行离子注入工艺之后进行的采用HDP氧化物层的沟槽间隙填充工艺和退火工艺时的曲线。曲线“f”是在沟槽上进行离子注入工艺之后进行采用PSZ的沟槽间隙填充工艺和退火工艺时的曲线。曲线“g”是在离子注入工艺以形成阱之后进行激活注入离子的退火工艺时的曲线。
因此,可以看出,在使用HDP的曲线“e”中比在使用PSZ氧化物层的曲线“f”中具有更高的在沟槽表面上的硼浓度。
还可以看出,与图2A的曲线相比,图2B的曲线更清楚地示出硼(B)的浓度,并具有与图2A类似的结果。
发明内容
本发明的实施例关于形成半导体装置的隔离层的方法,其中为了保护沟槽侧面而进行氟离子注入工艺,防止硼(B)迁移并减少漏电流。
在一个实施例中,形成半导体装置的隔离层的方法包括如下步骤:在半导体衬底内侧上进行第一离子注入工艺,以控制阈值电压;在半导体衬底上形成硬掩模,隔离区通过该硬掩模暴露;蚀刻半导体衬底的隔离区,因此形成沟槽;在沟槽侧壁上进行第二离子注入工艺,以防止用于控制阈值电压的注入杂质扩散;和在隔离区上形成隔离层,因此间隙填充该沟槽。
在进行第一离子注入工艺之前,该方法还包括在半导体衬底内侧上进行TN阱离子注入工艺和p阱离子注入工艺的步骤,以形成TN阱结和p阱结。
在硬掩模层下面形成缓冲氧化物层和氮化物层的叠层结构。
在缓冲氧化物层下面形成隧穿氧化物层和多晶硅层的叠层结构。
在形成硬掩模层之后,该方法还包括进行第三离子注入工艺的步骤,以向半导体衬底的有源区边缘注入3价杂质。
该3价杂质可以包括硼(B)。
该第三离子注入工艺可以通过以倾斜角度注入该3价杂质而进行。
该第三离子注入工艺可以通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入硼(B)而进行。
第三离子注入工艺可以通过以3到30度的角度注入硼(B)同时每90度旋转半导体衬底而进行。
第二离子注入工艺可以使用氟(F)基惰性气体进行。
第二离子注入工艺可以通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入氟(F)而进行。
第三离子注入工艺可以通过以3到30度的角度注入氟(F)同时每90度旋转半导体衬底而进行。
形成隔离层的步骤包括如下步骤:在整个表面上形成旋涂电介质(SOD)层,于是间隙填充沟槽;在SOD层上进行热处理工艺;和进行蚀刻工艺从而被热处理的SOD层仅保留在隔离区中。
SOD层可以由聚硅氮烷(PSZ)材料形成。
热处理工艺可以通过使用H2或N2与H2的混合气体在100到1000摄氏度的温度范围内进行。
在一个实施例中,形成半导体装置的隔离结构的方法包括向半导体衬底注入第一类型的掺杂剂以在衬底中形成掺杂区。掩模层提供在衬底和衬底的掺杂区上。掩模层被构图以暴露衬底的隔离区,该隔离区限定有源区,该隔离区和有源区至少部分限定在掺杂区中。第二类型的掺杂剂注入到由隔离区限定的有源区的边缘。半导体衬底的隔离区被蚀刻以形成具有延伸到掺杂区深度之下的深度的隔离沟槽。第三类型的掺杂剂注入在沟槽侧壁上,使得提供在隔离沟槽侧壁上的第二类型的掺杂剂从侧壁的迁移最少。该沟槽填充有介电层以形成隔离结构。第一类型的掺杂剂包括硼,第二类型的掺杂剂包括硼,且第三类型的掺杂剂包括氟,其中介电层包括聚硅氮烷(PSZ)材料。
附图说明
图1A和1B是示出当沟槽填充有HDP氧化物层或PSZ时应力和硼(B)的流动的图;
图2A是示出在进行退火工艺之后使用二次离子质谱(SIMS)得到的硼(B)浓度与从沟槽表面深度之间关系的曲线图;
图2B是示出在进行退火工艺之后使用扩散电阻探针得到的硼(B)浓度与从沟槽表面深度之间关系的曲线图;
图3A到3D是用于示出根据本发明实施例形成半导体装置的隔离层的方法的剖面图。
具体实施方式
参考图3A,在p型半导体衬底100上进行TN阱离子注入工艺和p阱离子注入工艺,在该p型半导体衬底100中形成TN阱结102和p阱结构104。为了在半导体衬底100表面上形成薄沟道结,采用具有相对高质量的掺杂剂BF2以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量进行离子注入工艺。为了使离子注入时掺杂剂的离子撞击最大化,使用3到45度的倾斜离子注入。
进行用于控制采用p型掺杂离子的单元Vt的离子注入工艺以形成单元Vt结106。采用具有低质量的掺杂剂B11以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量进行单元Vt控制离子注入工艺。在Vt控制离子注入工艺中,进行1到50度的倾斜离子注入以防止掺杂剂的沟流。
隧穿氧化物层108、用于浮置栅极的多晶硅层110、缓冲氧化物层112、氮化物层114和硬掩模层116依次形成在半导体衬底100上。
参考图3B,光致抗蚀剂图案118形成在硬掩模层116上。然后光致抗蚀剂图案118被用作掩模来蚀刻硬掩模层116、氮化物层114、缓冲氧化物层112和多晶硅层110。
为了减少NMOS晶体管的漏电流,进行离子注入工艺以形成硼(B)结120使得3价杂质的硼被注入到半导体衬底100的有源区边缘中。通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入硼来进行硼离子注入工艺,且以3到30度的角度注入硼(B),同时每次将半导体衬底100旋转90度。
在此情形,由于硼(B)离子注入工艺,在已被蚀刻的硬掩模层116、氮化物层114、缓冲氧化物层112和多晶硅层110的侧面上产生点缺陷。
参考图3C,在除去光致抗蚀剂图案118之后,使用硬掩模层116作为掩模,隧穿氧化物层108被蚀刻,且半导体衬底100被部分蚀刻,因此形成沟槽122。
为了防止与高压输入晶体管中一样的由高压导致的硼损失,沟槽蚀刻工艺如上所述通过进行第一蚀刻工艺和第二蚀刻工艺而进行,该第一蚀刻工艺是依次蚀刻硬掩模层116、氮化物层114、缓冲氧化物层112和多晶硅层110,且第二蚀刻工艺是蚀刻隧穿氧化物层108和半导体衬底100。
然而,由于这样,对于沟道结区的蚀刻损害更为显著。因此,在沟槽122侧面上的硼浓度进一步减少。使用氟(F)基惰性气体进行另一离子注入工艺。该氟(F)基离子注入工艺通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入氟(F)而进行,且以3到30度角度注入氟(F),同时每次将半导体衬底100旋转90度。
参考图3D,为了间隙填充沟槽122,SOD层124形成在整个表面上。SOD层124由PSZ材料形成。为了除去剩余在SOD层124中的H2气体并激活氟(F),进行热处理工艺。该热处理工艺可以使用H2或N2和H2的混合气体在100到1000摄氏度温度范围进行。
引起SOD层124的张应力的氢(H2)与氟(F)通过热处理工艺而化学反应,因此防止硼的损失。在氢(H2)与硼(B)之间,氟(F)与氢(H2)更容易反应。所以如果氟在衬底中,则硼容易被激活而不干扰PSZ的氢(H2)。在本发明的实施例中,使用吸氟层防止PSZ中的氢(H2)与硼的反应。因此可以使用后续的高温热处理工艺防止硼损失,并除去剩余在SOD层124中的H2
虽然在视图中未示出,但进行蚀刻工艺使得被热处理的SOD层124仅保留在沟槽122中。
本发明的实施例具有一个或多个下述优点。
首先,在沟槽侧面上进行氟(F)离子注入工艺。因此可以减小沟槽侧面上的应力并使得硼(B)的迁移最小,并减小漏电流和减小沟槽侧面上的硼(B)损失。
第二,在硼(B)离子注入工艺过程中产生的点缺陷通过在沟槽的内侧上进行氟(F)离子注入工艺而被选择地除去了。
本发明的上述实施例是示意性的而不是限制性的。例如,本发明可以实施为易失存储器装置、非易失存储器装置或其他类型的半导体装置。各种替换和等同特征都是可能的。从本公开中其他增加、减少或改进是明显的,并将落入权利要求的范围内。

Claims (20)

1.一种形成半导体装置的隔离结构的方法,该方法包括:
在半导体衬底上进行第一离子注入工艺以控制阈值电压,第一杂质提供在所述衬底中;
在所述半导体衬底上方形成硬掩模,通过所述掩模暴露隔离区;
蚀刻所述半导体衬底的隔离区以形成沟槽;
进行第二离子注入工艺以在所述沟槽侧壁上提供第二杂质,从而使所述第一杂质从所述沟槽的侧壁的扩散最少;和
用介电层填充所述沟槽以形成隔离结构。
2.根据权利要求1所述的方法,还包括:在进行所述第一离子注入工艺之前,在所述半导体衬底上进行TN阱离子注入工艺和p阱离子注入工艺,从而形成TN阱结和p阱结。
3.根据权利要求1所述的方法,其中缓冲氧化物层和氮化物层提供在所述硬掩模层下面。
4.根据权利要求3所述的方法,其中隧穿氧化物层和多晶硅层提供在所述缓冲氧化物层下面。
5.根据权利要求1所述的方法,还包括:
在形成所述硬掩模之后,进行第三离子注入工艺,从而向所述半导体衬底的有源区边缘中注入3价杂质,所述第三离子注入工艺在第二离子注入工艺之前进行。
6.根据权利要求5所述的方法,其中所述3价杂质包括硼。
7.根据权利要求5所述的方法,其中所述第三离子注入工艺通过以倾斜角度注入所述3价杂质而进行。
8.根据权利要求6所述的方法,其中所述第三离子注入工艺通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入硼而进行。
9.根据权利要求6所述的方法,其中所述第三离子注入工艺通过以3到30度的角度注入硼同时每次旋转半导体衬底90度而进行。
10.根据权利要求1所述的方法,其中所述第二离子注入工艺使用氟基惰性气体而进行。
11.根据权利要求10所述的方法,其中所述第二离子注入工艺通过以5到50KeV的离子注入能量和1E11离子/cm2到1E14离子/cm2的剂量注入氟而进行。
12.根据权利要求10所述的方法,其中所述第二离子注入工艺通过以3到30度的角度注入氟同时每次旋转半导体衬底90度而进行。
13.根据权利要求1所述的方法,其中所述填充步骤涉及在所述衬底上形成旋涂式电介质层以间隙填充所述沟槽,且其中所述方法还包括:
在所述旋涂式电介质层上进行热处理工艺;和
蚀刻所述热处理的旋涂式电介质层,从而所述旋涂式电介质层主要保留在所述隔离区中。
14.根据权利要求13所述的方法,其中所述旋涂式电介质层由聚硅氮烷材料形成。
15.根据权利要求13所述的方法,其中所述热处理工艺使用H2在100到1000摄氏度的温度范围内进行。
16.根据权利要求13所述的方法,其中所述热处理工艺使用N2和H2进行。
17.一种形成半导体装置的隔离结构的方法,该方法包括:
向半导体衬底中注入第一类型的掺杂剂从而在所述衬底中形成掺杂区;
在所述衬底和所述衬底的掺杂区上提供掩模层;
构图所述掩模层以暴露衬底的隔离区,所述隔离区限定有源区,所述隔离区和有源区至少被部分限定在所述掺杂区中;
在由所述隔离区限定的有源区的边缘注入第二类型的掺杂剂;
蚀刻所述半导体衬底的隔离区以形成具有延伸到所述掺杂区深度之下的深度的隔离沟槽;
在所述隔离沟槽的侧壁上注入第三类型的杂质,使得提供在所述隔离沟槽侧壁上的第二类型的掺杂剂从所述侧壁的迁移最少;和
用介电层填充所述隔离沟槽以形成隔离结构。
18.根据权利要求17所述的方法,其中所述第一类型的掺杂剂包括硼,第二类型的掺杂剂包括硼,且第三类型的掺杂剂包括氟,且其中所述介电层包括聚硅氮烷材料。
19.一种形成半导体装置的隔离结构的方法,所述方法包括:
向半导体衬底注入第一类型的掺杂剂以在所述衬底中形成掺杂区;
在所述衬底和所述衬底的掺杂区上方的提供掩模层;
构图所述掩模层以暴露所述衬底的隔离区,所述隔离区限定有源区;
通过由构图所述掩模而限定的开口注入第二类型的掺杂剂;
蚀刻所述半导体衬底的隔离区以形成具有延伸到所述掺杂区的深度以下的深度的隔离沟槽;
在所述隔离沟槽的侧壁上注入第三类型的掺杂剂,使得提供在所述隔离沟槽侧壁上的第二类型的掺杂剂从所述侧壁的迁移最少;和
用介电层填充所述沟槽以形成隔离结构,所述介电层包括聚硅氮烷材料。
20.根据权利要求19所述的方法,其中所述第三类型的掺杂剂包括氟,所述方法还包括:
热处理所述介电层,从而引起所述介电层中的氢残留物与氟反应并从所述介电层除去。
CNB2007100022903A 2006-09-21 2007-01-17 形成半导体装置的隔离层的方法 Active CN100539068C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR91733/06 2006-09-21
KR1020060091733A KR100810411B1 (ko) 2006-09-21 2006-09-21 반도체 소자의 소자 분리막 형성방법

Publications (2)

Publication Number Publication Date
CN101150086A true CN101150086A (zh) 2008-03-26
CN100539068C CN100539068C (zh) 2009-09-09

Family

ID=39250525

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100022903A Active CN100539068C (zh) 2006-09-21 2007-01-17 形成半导体装置的隔离层的方法

Country Status (4)

Country Link
US (1) US7429519B2 (zh)
JP (1) JP2008078600A (zh)
KR (1) KR100810411B1 (zh)
CN (1) CN100539068C (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101685793A (zh) * 2008-09-22 2010-03-31 海力士半导体有限公司 制造半导体器件的方法
CN102201363A (zh) * 2011-05-23 2011-09-28 上海宏力半导体制造有限公司 用于闪存器件的浅沟槽隔离结构形成方法
CN102468213A (zh) * 2010-11-19 2012-05-23 中国科学院微电子研究所 沟槽隔离结构及其形成方法
CN105161412A (zh) * 2015-08-31 2015-12-16 上海华力微电子有限公司 一种晶圆边缘产品良率的改善方法
CN107785372A (zh) * 2016-08-24 2018-03-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法、电子装置
CN110034013A (zh) * 2018-01-12 2019-07-19 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120276714A1 (en) * 2011-04-28 2012-11-01 Nanya Technology Corporation Method of oxidizing polysilazane
EP4220697A1 (en) * 2022-01-27 2023-08-02 Infineon Technologies Austria AG Semiconductor device with trench isolation structures in a transition region and method of manufacturing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137730A (ja) * 1990-09-28 1992-05-12 Kawasaki Steel Corp 半導体装置の製造方法
KR100230817B1 (ko) * 1997-03-24 1999-11-15 김영환 반도체 소자의 셜로우 트렌치 아이솔레이션 방법
KR19990060472A (ko) * 1997-12-31 1999-07-26 구본준 반도체소자의 산화막 형성방법
JP2000082808A (ja) * 1998-09-04 2000-03-21 Toshiba Corp 半導体装置及びその製造方法
KR100309642B1 (ko) * 1999-01-29 2001-09-26 김영환 반도체장치의 콘택 형성방법
US6514833B1 (en) * 1999-09-24 2003-02-04 Advanced Micro Devices, Inc. Method of inhibiting lateral diffusion between adjacent wells by introducing carbon or fluorine ions into bottom of STI groove
US20020182826A1 (en) * 2001-05-29 2002-12-05 Shui-Ming Cheng Fabrication method for a shallow trench isolation structure
KR100487137B1 (ko) * 2002-07-12 2005-05-03 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6869860B2 (en) * 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
KR100554830B1 (ko) * 2003-06-05 2006-02-22 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
KR100967673B1 (ko) * 2003-06-30 2010-07-08 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100554836B1 (ko) * 2003-06-30 2006-03-03 주식회사 하이닉스반도체 플래시 메모리 소자의 제조방법
US7387942B2 (en) * 2003-12-09 2008-06-17 Promos Technologies Inc. Substrate isolation in integrated circuits
KR100519507B1 (ko) * 2004-01-05 2005-10-07 매그나칩 반도체 유한회사 반도체 소자의 제조방법
JP2006059843A (ja) * 2004-08-17 2006-03-02 Toshiba Corp 半導体装置とその製造方法
JP4839599B2 (ja) 2004-11-11 2011-12-21 富士電機株式会社 半導体装置及びその製造方法
KR20060076370A (ko) * 2004-12-29 2006-07-04 주식회사 하이닉스반도체 반도체 소자의 제조방법

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101685793A (zh) * 2008-09-22 2010-03-31 海力士半导体有限公司 制造半导体器件的方法
CN102468213A (zh) * 2010-11-19 2012-05-23 中国科学院微电子研究所 沟槽隔离结构及其形成方法
CN102468213B (zh) * 2010-11-19 2014-10-01 中国科学院微电子研究所 沟槽隔离结构及其形成方法
CN102201363A (zh) * 2011-05-23 2011-09-28 上海宏力半导体制造有限公司 用于闪存器件的浅沟槽隔离结构形成方法
CN105161412A (zh) * 2015-08-31 2015-12-16 上海华力微电子有限公司 一种晶圆边缘产品良率的改善方法
CN105161412B (zh) * 2015-08-31 2018-01-26 上海华力微电子有限公司 一种晶圆边缘产品良率的改善方法
CN107785372A (zh) * 2016-08-24 2018-03-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法、电子装置
CN110034013A (zh) * 2018-01-12 2019-07-19 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN110034013B (zh) * 2018-01-12 2021-10-15 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法

Also Published As

Publication number Publication date
JP2008078600A (ja) 2008-04-03
CN100539068C (zh) 2009-09-09
US7429519B2 (en) 2008-09-30
US20080124894A1 (en) 2008-05-29
KR100810411B1 (ko) 2008-03-04

Similar Documents

Publication Publication Date Title
CN100539068C (zh) 形成半导体装置的隔离层的方法
US7659179B2 (en) Method of forming transistor using step STI profile in memory device
CN1993817B (zh) 浮动栅极存储单元
US8421142B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
KR101092010B1 (ko) 플로팅 게이트 메모리 셀에 있어서 저 Vss 저항 및감소된 DIBL을 위한 구조 및 방법
KR100854892B1 (ko) 고전압 소자의 제조 방법
CN105655253B (zh) 半导体结构及其形成方法
CN105845614A (zh) 半导体器件及其制作方法
KR100490303B1 (ko) 반도체 소자의 제조 방법
US20070275531A1 (en) Method of manufacturing flash memory device
KR100967098B1 (ko) 비휘발성 메모리 소자의 제조방법
KR100466193B1 (ko) 반도체 메모리 소자의 제조 방법
US7763930B2 (en) Semiconductor device and manufacturing method thereof
KR100755068B1 (ko) 벌브 타입의 리세스 채널을 갖는 반도체 소자의 제조방법
CN108878526B (zh) 半导体结构及其形成方法
KR20070018597A (ko) 반도체 장치의 형성 방법
KR20030049781A (ko) 플래시 메모리 셀 제조 방법
KR100739945B1 (ko) 반도체 소자의 고전압 소자를 위한 접합 영역 형성 방법
KR100604598B1 (ko) 반도체 소자의 제조 방법
US20080003788A1 (en) Method of manufacturing semiconductor device
KR100835432B1 (ko) 반도체 제조 장치에서의 소자 분리 방법
KR20100048761A (ko) 반도체 소자의 제조방법
KR20060034484A (ko) 플래시 메모리의 셀 트랜지스터 제조방법
KR20080029243A (ko) 반도체 소자의 소자분리막 형성방법
KR20040006418A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant