CN101027770A - 金属栅电极半导体器件 - Google Patents

金属栅电极半导体器件 Download PDF

Info

Publication number
CN101027770A
CN101027770A CNA2005800324404A CN200580032440A CN101027770A CN 101027770 A CN101027770 A CN 101027770A CN A2005800324404 A CNA2005800324404 A CN A2005800324404A CN 200580032440 A CN200580032440 A CN 200580032440A CN 101027770 A CN101027770 A CN 101027770A
Authority
CN
China
Prior art keywords
metal
work function
layer
metal level
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800324404A
Other languages
English (en)
Other versions
CN101027770B (zh
Inventor
M·多茨
J·布拉斯克
J·卡瓦利罗斯
C·巴恩斯
M·梅茨
S·达塔
R·曹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101027770A publication Critical patent/CN101027770A/zh
Application granted granted Critical
Publication of CN101027770B publication Critical patent/CN101027770B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

一种互补金属氧化物半导体集成电路可以由NMOS晶体管和PMOS晶体管形成,所述这些晶体管具有在半导体衬底之上的高介电常数的栅极电介质材料。金属阻挡层可以形成在栅极电介质上。功函数设定金属层形成在金属阻挡层上,并且覆盖金属层形成在功函数设定金属层上。

Description

金属栅电极半导体器件
背景
本发明涉及半导体器件,具体而言,涉及具有金属栅电极的半导体器件。
具有由二氧化硅制成的超薄栅极电介质的互补金属氧化物半导体(CMOS)器件,可能遇到无法接受的栅漏电流。使用某种高介电常数(k)电介质材料而不是用二氧化硅来形成栅极电介质,就能够减少栅泄漏。由于这种电介质可能与多晶硅不兼容,比较理想的是可使用在包括高k栅极电介质的器件中的金属栅电极来替换多晶硅基栅电极。
为了形成具有合适功函数的金属NMOS和PMOS栅电极,使用不同材料来形成它们可能是十分必要的,其中一种材料确保NMOS栅电极的可接受功函数,另一种材料确保PMOS栅电极的可接受功函数。可以使用一种替换栅极工艺用不同的金属来形成金属NMOS和PMOS栅电极。在该工艺中,选择性地移除由一对隔离件支起来的第一多晶硅层直至第二多晶硅层,从而在隔离件之间形成沟槽。选择性的移除可以包括使用结合超声波降解法的选择性蚀刻剂,例如氢氧化四甲基铵(TMAH)或NH4OH。沟槽内填满了第一金属。随后移除第二多晶硅层,并使用与第一金属不同的第二金属来替换第二多晶硅层。
在形成具有高k电介质层的金属栅极晶体管的蚀刻工艺中,电介质层由不同的金属层和多晶硅掩模层覆盖。然后蚀刻这些层从而限定NMOS和PMOS栅电极。
由于现有的在高k电介质结构上的金属栅极,功函数金属可以显示出与传统半导体工艺、特别是湿性蚀刻工艺的反应性。另外,功函数金属可能不能彻底地粘贴在栅极电介质上。而且,现有金属栅极结构可能达不到目标电压阀值,可能具有不足的迁移率并且栅电介质厚度可能过厚。
因此,需要用于金属栅极晶体管的更好结构。
附图简介
图1~图10显示了实施本发明方法的一个实施例时可形成的结构的截面图。
这些图中显示的特征并非按比例绘制。
详细描述
参考图1,在一个实施例中,形成在衬底100上的叠层可以包括高k栅极电介质180、金属阻挡层160、功函数设定金属层190和覆盖金属层115。这里使用的高k装置具有大于10的介电常数。
衬底100可以包括块状硅亚结构或在绝缘体上的硅的亚结构。可选的是,衬底100可以包括可与硅结合或不与硅结合的其它材料,例如锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓。尽管这里描述了可以形成衬底12的材料的示例,但是可以作为衬底的任何材料都属于本发明的精神和范围内,其中半导体器件可以形成在该衬底上。
可用于形成N型金属层190的材料包括铪、锆、钛、钽、铝和它们的合金,例如包括这些元素的金属碳化物,即碳化铪、碳化锆、碳化钛、碳化钽和碳化铝。N型金属层190可以使用众所周知的物理气相沉积(PVD)或化学气相沉积(CVD)工艺形成,例如传统溅射或原子层CVD工艺。
N型金属层190可以用作金属NMOS栅电极,在一个实施例中金属NMOS栅电极具有在大约3.9eV~大约4.2eV之间的功函数。层190为大约100埃厚,从而在实施例中便于图案形成。
可用于形成P型金属层190的材料包括钌、钯、铂以及金属氮化物,例如钛、钨、钽、钌和钛铝的氮化物。P型金属层190可以使用众所周知的PVD或CVD工艺形成,例如传统溅射或原子层CVD工艺。
P型金属层190可以用作金属PMOS栅电极,在一个实施例中其具有在大约4.9eV~大约5.2eV之间的功函数。在一个实施例中,层190为大约100埃厚。
用于制造高k栅极电介质180的其中一些材料包括:二氧化铪、铪硅氧化物、氧化镧、氧化锆、锆硅氧化物、氧化钽、钡锶钛氧化物、钛钡氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌。优选使用的是二氧化铪、氧化锆和氧化铝。尽管这里描述了可用于形成高k栅极电介质层180的一些材料示例,但是,该电介质层180可以使用其它的材料形成。
高k栅极电介质层180可以使用传统沉积方法、例如传统化学气相沉积法(“CVD”)、低压CVD法或物理气相沉积法(“PVD”)在衬底100上形成。优选使用传统原子层CVD工艺。在该工艺中,金属氧化物前体(例如金属氯化物)和蒸气可以以选定的流速加入到CVD反应器中,反应器然后在选定的温度和压力下运转从而在衬底100和高k栅极电介质层180之间形成平滑的原子接合面。CVD反应器可以运转足够长的时间,从而形成期望厚度的层。在某些应用中,高k栅极电介质层180厚度可以小于大约60埃,在一个实施例中,其厚度在大约5埃~大约40埃之间。
根据本发明的一个实施例,层160可以是金属阻挡层。为了说明一些示例,其可以是含氮金属,例如氮化钛、氮化钽、氮化锆和氮化铪。在某些实施例中,其可以改善功函数设定金属层190与高k电介质层180的附着力。层160还提供了防止功函数设定金属层190扩散到高k电介质层180的阻挡作用。有利的是,金属阻挡层160可以足够薄,从而允许上面的层190中金属的功函数来执行器件的接通。在一个实施例中,金属阻挡层160的厚度小于30埃。
层190可以是优化后既可用于N型器件又可用于P型器件的功函数金属。层190可以为大约100埃厚,该厚度足够厚来设定功函数,而足够薄来方便图案形成。
最后,层115是覆盖层,在本发明一个实施例中,其厚度在200~1000埃之间。可以选择层115的材料,使得可以使用已知干性蚀刻剂来进行图案形成,并抵抗通常在半导体工艺中使用的湿性蚀刻剂。特别是,层115可以使用高电导率金属,例如铝、钨或铜来形成。
因此,在本发明某些实施例中,可以在替换金属栅极工艺中使用图1所示的栅极结构。在替换金属栅极工艺中,覆盖金属层115可以使用具有优秀抛光特性的材料。在替换金属栅极工艺中,可以选择性地从具有本发明一个实施例中减小凹陷的层间电介质上移除覆盖金属层115。用于替换金属栅极工艺的合适层115的示例包括钨、氮化钛、钽、氮化钽和铜。
图2~图10显示了在实施本发明方法实施例时可以形成的结构。最初,高k栅极电介质层105和107、牺牲金属层(未显示)、牺牲层104和106在衬底100上形成并进行图案形成,从而产生了图2的结构。
用于制造高k栅极电介质105、107的某些材料包括:二氧化铪、铪硅氧化物、氧化镧、镧铝氧化物、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钛钡氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和锌铌酸铅。优选使用二氧化铪、氧化锆、氧化钛和氧化铝。尽管这里描述了可用于形成高k栅极电介质层的一些材料示例,但是该高k栅极电介质层可以使用其它可减少栅泄漏的材料制造而成。该层的介电常数大于10,在本发明的一个实施例中其在15~25之间。
高k栅极电介质层可以使用传统沉积方法、例如传统化学气相沉积法(“CVD”)、低压CVD法或物理气相沉积法(“PVD”)在衬底100上形成。优选使用传统原子层CVD(“ALD”)工艺。在该工艺中,金属氧化物前体(例如金属氯化物)和蒸气可以以选定的流速加入到CVD反应器中,反应器然后在选定的温度和压力下运转从而在衬底100和高k栅极电介质层105、107之间产生原子平滑接合面。CVD反应器可以运转足够长的时间从而形成期望厚度的层。在大多数应用中,高k栅极电介质层105、1 07的厚度例如可以小于大约60埃,在一个实施例中,其厚度在大约5埃~大约40埃之间。
牺牲金属层(未显示)可以形成在电介质层上。牺牲金属层可以是能够耐受高温(高于450℃)而不与覆盖材料反应的任何材料。作为一个示例,牺牲金属层可以用氮化钛形成。在一个实施例中,层可以使用溅射形成。在另一个实施例中,层可以使用原子层沉积形成。
在衬底100上形成高k栅极电介质层后,牺牲层104、106分别在高k栅极电介质层105、107上形成。在该实施例中,硬掩模层130、131稍后形成在牺牲层104、106上。牺牲层104、106可以包括多晶硅并可以使用传统沉积工艺沉积在牺牲金属层上。牺牲层104、106的厚度可以是,例如在大约100埃~大约2,000埃之间,在一个实施例中,其厚度在大约500埃~大约1,600埃之间。
硬掩模层130、131可以包括例如大约100~大约1000埃厚的氮化硅,在一个实施例中其厚度在大约200埃~大约350埃之间。硬掩模层130、131可以形成在牺牲层上。
传统湿性或干性蚀刻工艺可以用于移除硬覆盖层、牺牲金属层和牺牲层的未保护部分。在该实施例中,在完成这些层的蚀刻后移除高k栅极电介质层的暴露部分。
尽管高k栅极电介质层的暴露部分可以使用干性或湿性蚀刻技术移除,但是,在不有害影响附近结构的前提下使用该工艺蚀刻该层可能是十分困难的。使用干性蚀刻工艺选择性蚀刻高k栅极电介质层直至下面的衬底可能是十分困难的,并且湿性蚀刻工艺会各向同性地蚀刻高k栅极电介质,从而以不期望的方式切去叠加牺牲层104、106的底部。
为了减少高k栅极电介质层的横向移除,当该层的暴露部分被蚀刻时,可以对高k栅极电介质层的暴露部分进行改性,从而方便将其选择性移除直至该层的覆盖部分。牺牲层蚀刻完后,可以使用给高k栅极电介质层的那部分添加杂质的方法来对暴露部分进行改性。增强等离子化学气相沉积(“PECVD”)工艺可以用于给高k栅极电介质层的暴露部分添加杂质。在该PECVD工艺中,卤素或卤素气体(或这些气体的混合物)可以在等离子体撞击前加入到反应器中。反应器应该在合适的条件下(例如温度、压力、射频和功率)运转足够长的时间,以便对该暴露部分进行改性,从而确保可以选择性地移除该暴露部分,直至到达其它材料。在一个实施例中,使用了例如至少小于大约200W的低功率PECVD工艺。
在一个实施例中,溴化氢(“HBr”)和氯(“Cl2”)气以合适的流速加入到反应器中,从而确保从那些气体中产生的等离子体以期望模式对暴露部分进行改性。在大约50~大约100W(例如大约100W)之间,可以施加足够长时间的晶片偏压(wafer bias),来实现暴露部分的所期望的转变。暴露于等离子体中的持续时间小于大约1分钟,且大概5秒钟那么短,但时间足够可以引起转变。
暴露部分在改性后就可以被移除了。添加杂质的存在使得暴露部分选择性地蚀刻覆盖部分。在一个实施例中,暴露部分是通过暴露在相当强的酸,例如卤基酸(例如氢溴酸或盐酸)或磷酸中移除的。在使用卤基酸的时候,酸优选包含大约0.5%~大约10%体积比的HBr或HCl,更优选为大约5%体积比。使用这些酸的蚀刻工艺可以在室温下或接近室温地进行,并持续大约5~大约30分钟,如果需要可以暴露更长的时间。在使用磷酸的时候,酸可以包括大约75%~大约95%体积比的H3PO4。使用这些酸的蚀刻工艺可以在例如大约140℃~大约180℃之间的温度下进行,在一个实施例中,其在大约160℃下进行。在使用这些酸的时候,暴露步骤可以持续大约30秒~大约5分钟,对于20埃厚的膜大约一分钟。
图2显示了制造互补金属氧化物半导体(“CMOS”)时可以形成的中间结构。该结构包括衬底100的第一部分101和第二部分102。隔离区域103将第一部分101和第二部分102隔离。隔离区域103可以包含二氧化硅,或可以隔离晶体管的作用区的任何材料。第一牺牲层104形成在第一高k栅极电介质层105上,第二牺牲层106形成在第二高k栅极电介质层107上。硬掩模130、131形成在牺牲层104、106上。
形成图2的结构后,可以在牺牲层104、106的对面形成隔离件。如果这些隔离件包含氮化硅,那么它们可以以下列方式形成。首先,将具有基本一致厚度、例如小于1000埃厚的氮化硅层沉积在整个结构上,产生图2所示的结构。可以使用传统沉积工艺来生成该结构。
在一个实施例中,氮化硅层134直接沉积在衬底100上和牺牲层104、106的对面,而不用先在衬底100和层104、106上形成缓冲氧化层。然而在可选实施例中,该缓冲氧化层可以在层134形成之前形成。类似的,尽管图3中未显示,第二氧化物可以在蚀刻层134之前在层134上形成。如果使用了该氧化物,则该氧化物可以使得后续氮化硅蚀刻步骤产生L型隔离件。
氮化硅层134可以使用用于各向异性蚀刻氮化硅的传统工艺来蚀刻,从而产生图4的结构。该蚀刻步骤的结果,是牺牲层104由一对侧墙隔离件108、109括起来,并且牺牲层106由一对侧墙隔离件110、111支托起来。
按照通常实施那样,希望实施多个掩模和离子注入步骤,从而在牺牲层104、106上形成隔离件108、109、110、111之前,在层104、106附近产生轻微注入的区域135a~138a(其最终会作为器件源极和漏极区域的顶部区域)。同样按照通常实施那样,源极和漏极区域135~138可以在隔离件108、109、110、111形成后,通过将离子注入衬底100的部分101和102中形成,随后使用合适的退火步骤。
用于在衬底100的部分201内形成N型源极和漏极区域的离子注入和退火顺序可以同时给牺牲层104进行N型掺杂。类似的,用于在衬底100的部分202内形成P型源极和漏极区域的离子注入和退火顺序可以同时给牺牲层106进行P型掺杂。当用硼给牺牲层106加掺杂剂时,层应当包括具有足够浓度的元素从而确保用于移除N型含锗层104的后续湿性蚀刻工艺不会移除大量的P型牺牲层106。
退火激活了预先引入源极和漏极区域、尖端区域和牺牲层104、106的掺杂剂。在优选实施例中,应用了在温度超过大约1000℃,最佳在1080℃的时候可以进行的快速热退火。除了激活掺杂剂外,该退火还可以修改高k栅极电介质层105、107的分子结构,从而产生具有改进性能的栅极电介质层。
在形成隔离件108、109、110、111后,可以在器件上沉积电介质层112从而产生图5的结构。电介质层112可以包括二氧化硅或低k材料。电介质层112可以掺杂有磷、硼或其它元素,并且可以使用高密度等离子沉积工艺形成。通过该工艺的该阶段,由硅化区域139、140、141、142覆盖的源极和漏极区域135、136、137、138已经完成形成了。那些源极和漏极区域可以通过将离子注入衬底形成,然后再激活它们。可选地,可以使用外延生长工艺来形成源极和漏极区域,这对本领域的技术人员来说是明显的。
通常使用氮化物隔离件、源极/漏极和硅化物成形工艺来形成图5的结构。该结构可以包括可以使用传统工艺步骤形成的其它特征(未显示,以不模糊本发明的方法)。
电介质层112从硬掩模130、131上移除,该硬掩模130、131依次从图案化的牺牲层104、106上移除,从而产生图6的结构。可以应用传统的化学机械抛光(“CMP”)操作来移除电介质层112的那部分和硬掩模130、131。可以移除硬掩模130、131从而暴露图案化的牺牲层104、106。在抛光电介质层112时,硬掩模130、131可以从层104、106的表面抛光-这是因为在工艺的那个阶段它们已经起到了它们的作用。
在形成图6的结构后,移除牺牲层104、106从而产生沟槽113,产生图7所示的结构。可以使用1%的铪溶液15~30秒来移除形成在剩余多晶硅上的化学氧化物。
在第二实施例中(未显示),选择性地用于层106之上的层104的湿性蚀刻工艺被用来移除层104,而不移除层106的有效部分。当牺牲层104是N型掺杂的,并且牺牲层106是P型掺杂的(例如含有硼)时,该湿性蚀刻工艺可以包括将牺牲层104在足够温度下暴露在包括氢氧化物源的水溶液中足够长的时间用于基本上移除所有的层104。氢氧化物源可以在去离子水中包括在大约2%~大约30%之间体积比的氢氧化铵或四烷基氢氧化铵、例如氢氧化四甲基铵(“TMAH”)。任何残留的牺牲层104可以通过暴露在溶液中来移除,该溶液温度保持在大约15℃~大约90℃之间(例如低于大约40℃),其在去离子水中包括体积比大约2%~大约30%之间的氢氧化铵。在该暴露步骤中优选持续至少一分钟,期望使用频率在大约10kHz~大约2000kHz之间、以大约1~大约10W/cm2来消散的声能。
在第二实施例中,厚度为大约1,350埃的牺牲层104可以通过将其暴露在大约25℃的溶液中大约30分钟从而选择性移除,该溶液包括在去离子水中体积比为大约15%的氢氧化铵,同时施加大约1,000kHz、以大约5W/cm2进行消耗的声波能量。该蚀刻工艺应当基本上移除了所有N型牺牲层,而不移除有意义量的P型牺牲层。
作为第三实施例,牺牲层104可以通过暴露在溶液中至少一分钟而选择性移除,该溶液温度保持在大约60℃~大约90℃之间,并包括在去离子水中体积比大约20%~大约30%之间的TMAH,同时施加声波能量。通过暴露在大约80℃的溶液中大约两分钟从而移除厚度大约1,350埃的牺牲层104,该溶液包括在去离子水中的体积比大约25%的TMAH,同时施加大约1,000kHz、以5W/cm2消耗的声波能量,可以基本移除所有的层104而不移除有意义量的层106。第一高k栅极电介质层105应该足够厚从而避免蚀刻剂到达位于第一高k栅极电介质层105下的通道区域,其中该蚀刻剂用于移除牺牲层104。
在某些实施例中,电介质层105和/或107可以在形成替换金属栅极前移除。在这种情况下,金属氧化物栅极电介质180可以在形成图8所示替换栅极之前形成。
其次,在图8中,层160可以沉积作为阻挡和粘接层。其可以防止高k栅极电介质105、107和叠加金属层190之间的反应。其还可以提供用于高k电介质的氮源。其次,层190可以沉积作为功函数层。其设定晶体管电压阀值。
参考图9,在形成层190后,可以通过施加自底向上的超共形(superconformal)填充(超级填充),来实现接触/间隙填充,见115a。为了说明一些示例,该填充可以使用电镀、ALD、CVD或铝回流来实现。间隙填充材料可以包括高电导率金属(即那些相对电导率大于28的材料,以20℃的铜电导率是100为基准),例如铝、钨或铜。电镀溶液可以包含金属离子,提供电解液导电性的例如TMAH或NH4OH的碱或诸如H2SO4或甲基磺酸(MSA)的酸,以及例如二硫化物的催化剂。由于在电镀过程中实现自底向上填充时表面区域的快速减少,催化剂可以积聚在底部表面的小特征上。超声处理可以用来进行辅助间隙填充。图10显示了具有覆盖金属层115的完全超级填充。在化学机械整平(CMP)后,形成了图1的结构。
尽管本发明参考有限数量的实施例进行了描述,本领域的技术人员应当可以意识到本发明的各种修改和变更。附加的权利要求书覆盖了在不背离本发明范围和精神前提下的所有修改和变更。

Claims (21)

1.一种方法,包括:
移除模拟的栅电极;
在半导体衬底上形成高介电常数的栅极电介质;
在所述栅极电介质上形成金属阻挡层;
在所述金属阻挡层上形成功函数设定金属层;以及
在所述功函数设定金属层上形成覆盖金属层。
2.根据权利要求1所述的方法,其特征在于,所述方法包括形成N型金属栅极结构。
3.根据权利要求1所述的方法,其特征在于,所述方法包括形成P型金属栅极结构。
4.根据权利要求1所述的方法,其特征在于,所述方法包括形成N型功函数设定金属层,所述N型功函数设定金属层具有大约3.9eV~4.2eV之间的功函数并具有大约100埃的厚度。
5.根据权利要求1所述的方法,其特征在于,所述方法包括形成P型功函数金属层,所述P型功函数金属层具有大约4.9eV~5.2eV之间的功函数并具有大约100埃的厚度。
6.根据权利要求1所述的方法,其特征在于,所述方法包括形成含氮金属的所述金属阻挡层。
7.根据权利要求1所述的方法,其特征在于,所述方法包括形成厚度在200~1000埃之间的所述覆盖金属层。
8.一种集成电路,包括:
衬底;
在所述衬底上的高介电常数的栅极电介质;
在所述栅极电介质上的金属阻挡层;
在所述金属阻挡层上的功函数设定金属层;以及
在所述功函数设定金属层上的覆盖金属层,所述覆盖金属层具有大于28的相对电导率。
9.根据权利要求8所述的电路,其特征在于,所述电路是包括NMOS和PMOS晶体管的互补金属氧化物半导体电路。
10.根据权利要求9所述的电路,其特征在于,所述互补金属氧化物半导体电路的NMOS和PMOS晶体管都包括在功函数设定层上的覆盖金属层,其中所述功函数设定层位于在栅极电介质材料之上的金属阻挡层上面。
11.根据权利要求10所述的电路,其特征在于,所述NMOS晶体管包括具有大约3.9eV~4.2eV之间的功函数和大约100埃厚度的功函数设定金属。
12.根据权利要求10所述的电路,其特征在于,所述PMOS晶体管包括具有4.9eV~5.2eV之间的功函数和大约100埃厚度的功函数金属。
13.根据权利要求8所述的电路,其特征在于,所述金属阻挡层包括含氮金属。
14.根据权利要求8所述的电路,其特征在于,所述覆盖金属层的厚度在200埃~1000埃之间。
15.一种方法,包括:
在半导体衬底上形成栅电极;
移除所述栅电极;
形成高介电常数的栅极电介质,以取代所述移除的栅电极;
在所述栅极电介质上形成金属阻挡层;
在所述金属阻挡层上形成功函数设定金属层;以及
在所述功函数设定金属层上形成覆盖金属层,所述覆盖金属层具有大于28的相对电导率。
16.根据权利要求15所述的方法,其特征在于,所述方法包括形成N型金属栅极结构。
17.根据权利要求15所述的方法,其特征在于,所述方法包括形成P型金属栅极结构。
18.根据权利要求15所述的方法,其特征在于,所述方法包括形成具有大约3.9eV~4.2eV之间的功函数并具有大约100埃的厚度的N型功函数设定金属层。
19.根据权利要求15所述的方法,其特征在于,所述方法包括形成具有大约4.9eV~5.2eV之间的功函数并具有大约100埃的厚度的P型功函数金属层。
20.根据权利要求15所述的方法,其特征在于,所述方法包括形成含氮金属的所述金属阻挡层。
21.根据权利要求15所述的方法,其特征在于,所述方法包括形成厚度在200埃~1000埃之间的所述覆盖金属层。
CN2005800324404A 2004-09-27 2005-09-16 一种包括金属栅电极的集成电路及制备金属栅电极的方法 Active CN101027770B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/951,073 US7126199B2 (en) 2004-09-27 2004-09-27 Multilayer metal gate electrode
US10/951,073 2004-09-27
PCT/US2005/033698 WO2006036671A1 (en) 2004-09-27 2005-09-16 A metal gate electrode semiconductor device

Publications (2)

Publication Number Publication Date
CN101027770A true CN101027770A (zh) 2007-08-29
CN101027770B CN101027770B (zh) 2010-12-22

Family

ID=35708739

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800324404A Active CN101027770B (zh) 2004-09-27 2005-09-16 一种包括金属栅电极的集成电路及制备金属栅电极的方法

Country Status (7)

Country Link
US (1) US7126199B2 (zh)
EP (1) EP1794790A1 (zh)
JP (1) JP2008515190A (zh)
KR (1) KR100881477B1 (zh)
CN (1) CN101027770B (zh)
TW (1) TWI287875B (zh)
WO (1) WO2006036671A1 (zh)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101950756A (zh) * 2009-07-08 2011-01-19 台湾积体电路制造股份有限公司 n型场效应晶体管、其金属栅极及其制造方法
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
CN102097376A (zh) * 2009-12-10 2011-06-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN102194693A (zh) * 2010-03-16 2011-09-21 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102237399A (zh) * 2010-04-22 2011-11-09 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102299155A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102299156A (zh) * 2010-06-28 2011-12-28 中国科学院微电子研究所 一种半导体器件及其制造方法
CN101677064B (zh) * 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN102420187A (zh) * 2011-06-07 2012-04-18 上海华力微电子有限公司 一种改善先栅极工艺中高k栅电介质pmos负偏置温度不稳定性效应的方法
CN102593000A (zh) * 2011-01-13 2012-07-18 中国科学院微电子研究所 半导体器件及其制造方法
CN102683397A (zh) * 2011-03-17 2012-09-19 联华电子股份有限公司 金属栅极结构及其制作方法
CN102760758A (zh) * 2011-04-26 2012-10-31 联华电子股份有限公司 金属栅极结构
CN102810561A (zh) * 2011-06-02 2012-12-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN102893375A (zh) * 2010-06-02 2013-01-23 国际商业机器公司 高k金属栅极叠层
CN102959710A (zh) * 2010-06-04 2013-03-06 应用材料公司 金属栅极结构及其形成方法
CN101981670B (zh) * 2008-06-30 2013-03-06 英特尔公司 介电蚀刻停止层的选择性形成
CN102983156A (zh) * 2011-09-06 2013-03-20 联华电子股份有限公司 金属栅极结构及其工艺
CN103137553A (zh) * 2011-11-22 2013-06-05 台湾积体电路制造股份有限公司 具有电熔丝的集成电路及其形成方法
CN103137461A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 高k栅介质层的形成方法及形成装置、晶体管的形成方法
CN103367161A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN101661957B (zh) * 2008-08-26 2013-10-30 台湾积体电路制造股份有限公司 具有掺杂导电金属氧化物作为栅电极的cmos器件结构和方法
CN103456775A (zh) * 2012-05-30 2013-12-18 台湾积体电路制造股份有限公司 半导体器件的金属栅电极
CN104051252A (zh) * 2013-03-11 2014-09-17 中芯国际集成电路制造(上海)有限公司 高k金属栅结构的制备方法
TWI476838B (zh) * 2009-11-30 2015-03-11 United Microelectronics Corp 具金屬閘極之半導體結構與形成方法
US9048254B2 (en) 2009-12-02 2015-06-02 United Microelectronics Corp. Semiconductor structure having a metal gate with side wall spacers
CN105390381A (zh) * 2010-04-26 2016-03-09 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
CN105529304A (zh) * 2014-09-30 2016-04-27 联华电子股份有限公司 半导体装置与其制造方法
CN107424926A (zh) * 2016-05-24 2017-12-01 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制造方法
CN109411338A (zh) * 2017-08-15 2019-03-01 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN112789706A (zh) * 2018-08-28 2021-05-11 应用材料公司 沉积金属碳化物膜的方法

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7256113B1 (en) * 2001-12-14 2007-08-14 Advanced Micro Devices, Inc. System for forming a semiconductor device and method thereof
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7442319B2 (en) * 2005-06-28 2008-10-28 Micron Technology, Inc. Poly etch without separate oxide decap
KR100661217B1 (ko) * 2005-12-29 2006-12-22 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7859112B2 (en) * 2006-01-13 2010-12-28 Micron Technology, Inc. Additional metal routing in semiconductor devices
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
JP4492589B2 (ja) * 2006-06-20 2010-06-30 ソニー株式会社 半導体装置の製造方法
US7821050B1 (en) * 2006-07-31 2010-10-26 Altera Corporation CRAM transistors with high immunity to soft error
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US20090045458A1 (en) * 2007-08-15 2009-02-19 Advanced Micro Devices, Inc. Mos transistors for thin soi integration and methods for fabricating the same
US8034678B2 (en) * 2008-01-17 2011-10-11 Kabushiki Kaisha Toshiba Complementary metal oxide semiconductor device fabrication method
EP2112687B1 (en) * 2008-04-22 2012-09-19 Imec Method for fabricating a dual workfunction semiconductor device and the device made thereof
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
US7888195B2 (en) * 2008-08-26 2011-02-15 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8084824B2 (en) * 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
JP2010129978A (ja) * 2008-12-01 2010-06-10 Rohm Co Ltd 半導体装置の製造方法
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
DE102009046260B4 (de) * 2009-10-30 2020-02-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
KR101656443B1 (ko) * 2009-11-20 2016-09-22 삼성전자주식회사 금속 게이트 스택 구조물을 갖는 씨모스 소자
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
US8088685B2 (en) * 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US8330227B2 (en) * 2010-02-17 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor structure for SRAM and fabrication methods thereof
JP5937297B2 (ja) * 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US8680619B2 (en) * 2010-03-16 2014-03-25 Taiwan Semiconductor Manufacturing Compnay, Ltd. Method of fabricating hybrid impact-ionization semiconductor device
US8564072B2 (en) * 2010-04-02 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a blocking structure and method of manufacturing the same
US8310012B2 (en) * 2010-04-13 2012-11-13 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
CN102254805B (zh) * 2010-05-19 2013-07-24 中国科学院微电子研究所 一种适用于nmos器件的金属栅功函数的调节方法
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
CN102299154B (zh) * 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
KR101052930B1 (ko) * 2010-06-30 2011-07-29 주식회사 하이닉스반도체 반도체 소자의 매몰 게이트 및 형성 방법
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
KR20120051915A (ko) * 2010-11-15 2012-05-23 삼성전자주식회사 반도체 소자 제조 방법
US8350365B1 (en) * 2011-01-13 2013-01-08 Xilinx, Inc. Mitigation of well proximity effect in integrated circuits
JP5883563B2 (ja) * 2011-01-31 2016-03-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US9166020B2 (en) 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US20120261770A1 (en) * 2011-04-14 2012-10-18 Kun-Hsien Lin Metal gate structure
US20120264284A1 (en) * 2011-04-14 2012-10-18 Wang shao-wei Manufacturing method for metal gate structure
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
US8841733B2 (en) 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20120306026A1 (en) * 2011-05-31 2012-12-06 International Business Machines Corporation Replacement gate electrode with a tungsten diffusion barrier layer
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8580641B2 (en) * 2011-07-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing high-k dielectric metal gate CMOS
US9755039B2 (en) * 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US9076817B2 (en) 2011-08-04 2015-07-07 International Business Machines Corporation Epitaxial extension CMOS transistor
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US9048334B2 (en) 2011-08-22 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure
US9478623B2 (en) 2011-08-22 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8445345B2 (en) 2011-09-08 2013-05-21 International Business Machines Corporation CMOS structure having multiple threshold voltage devices
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8753968B2 (en) * 2011-10-24 2014-06-17 United Microelectronics Corp. Metal gate process
US8716124B2 (en) * 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9159823B2 (en) 2011-12-09 2015-10-13 Intel Corporation Strain compensation in transistors
US8669618B2 (en) * 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US8952392B2 (en) 2012-02-08 2015-02-10 United Microelectronics Corp. Semiconductor structure and process thereof
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
KR101909091B1 (ko) 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR20130127257A (ko) 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
ITTO20120516A1 (it) * 2012-06-14 2013-12-15 St Microelectronics Srl Metodo di fabbricazione di un sensore elettrochimico basato su grafene e sensore elettrochimico
KR20140006204A (ko) * 2012-06-27 2014-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
US9263277B2 (en) 2012-08-30 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8999831B2 (en) * 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9349742B2 (en) * 2013-06-21 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded memory and methods of forming the same
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
CN104517822B (zh) * 2013-09-27 2017-06-16 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
JP6402017B2 (ja) * 2013-12-26 2018-10-10 株式会社半導体エネルギー研究所 半導体装置
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
JP6130316B2 (ja) 2014-03-11 2017-05-17 信越化学工業株式会社 研磨組成物及び研磨方法並びに研磨組成物の製造方法
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
KR102127644B1 (ko) 2014-06-10 2020-06-30 삼성전자 주식회사 반도체 소자의 제조 방법
JP6268069B2 (ja) 2014-09-12 2018-01-24 信越化学工業株式会社 研磨組成物及び研磨方法
US9954112B2 (en) * 2015-01-26 2018-04-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9496183B1 (en) 2015-05-07 2016-11-15 International Business Machines Corporation Selective thickening of pFET dielectric
US10861701B2 (en) 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10475895B2 (en) * 2017-05-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10504795B2 (en) 2018-03-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning a lanthanum containing layer
KR20210038762A (ko) 2019-09-30 2021-04-08 삼성전자주식회사 반도체 장치
DE102021106285A1 (de) * 2020-06-05 2021-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-struktur und verfahren
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5112761A (en) * 1990-01-10 1992-05-12 Microunity Systems Engineering Bicmos process utilizing planarization technique
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
US6240199B1 (en) * 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
JP2000223588A (ja) * 1999-02-03 2000-08-11 Nec Corp 相補mis型半導体装置及びその製造方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
US6271132B1 (en) 1999-05-03 2001-08-07 Advanced Micro Devices, Inc. Self-aligned source and drain extensions fabricated in a damascene contact and gate process
US6159782A (en) 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4751498B2 (ja) * 2000-03-30 2011-08-17 富士通株式会社 半導体三端子装置
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6511911B1 (en) * 2001-04-03 2003-01-28 Advanced Micro Devices, Inc. Metal gate stack with etch stop layer
KR100426441B1 (ko) * 2001-11-01 2004-04-14 주식회사 하이닉스반도체 반도체 소자의 시모스(cmos) 및 그의 제조 방법
US6653698B2 (en) 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
JP4197607B2 (ja) * 2002-11-06 2008-12-17 株式会社東芝 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6873048B2 (en) * 2003-02-27 2005-03-29 Sharp Laboratories Of America, Inc. System and method for integrating multiple metal gates for CMOS applications

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101981670B (zh) * 2008-06-30 2013-03-06 英特尔公司 介电蚀刻停止层的选择性形成
CN101661957B (zh) * 2008-08-26 2013-10-30 台湾积体电路制造股份有限公司 具有掺杂导电金属氧化物作为栅电极的cmos器件结构和方法
CN101677064B (zh) * 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
US8163655B2 (en) 2008-09-15 2012-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a sacrificial sandwich structure
CN101950756A (zh) * 2009-07-08 2011-01-19 台湾积体电路制造股份有限公司 n型场效应晶体管、其金属栅极及其制造方法
CN102024813A (zh) * 2009-09-14 2011-04-20 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
CN102024813B (zh) * 2009-09-14 2013-08-28 台湾积体电路制造股份有限公司 半导体装置、互补式金属氧化物半导体装置及集成电路
TWI476838B (zh) * 2009-11-30 2015-03-11 United Microelectronics Corp 具金屬閘極之半導體結構與形成方法
US9048254B2 (en) 2009-12-02 2015-06-02 United Microelectronics Corp. Semiconductor structure having a metal gate with side wall spacers
US9397189B2 (en) 2009-12-02 2016-07-19 United Microelectronics Corp. Semiconductor structure having a metal gate with side wall spacers
CN102097376B (zh) * 2009-12-10 2013-05-01 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN102097376A (zh) * 2009-12-10 2011-06-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN102194693A (zh) * 2010-03-16 2011-09-21 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102237399B (zh) * 2010-04-22 2015-01-07 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102237399A (zh) * 2010-04-22 2011-11-09 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN105390381A (zh) * 2010-04-26 2016-03-09 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
CN105390381B (zh) * 2010-04-26 2018-12-21 应用材料公司 使用具有金属类前驱物的cvd与ald工艺的nmos金属栅极材料、制造方法以及设备
CN102893375B (zh) * 2010-06-02 2015-11-25 国际商业机器公司 高k金属栅极叠层
CN102893375A (zh) * 2010-06-02 2013-01-23 国际商业机器公司 高k金属栅极叠层
CN102959710A (zh) * 2010-06-04 2013-03-06 应用材料公司 金属栅极结构及其形成方法
CN102959710B (zh) * 2010-06-04 2017-03-22 应用材料公司 金属栅极结构及其形成方法
CN102299155A (zh) * 2010-06-22 2011-12-28 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102299156A (zh) * 2010-06-28 2011-12-28 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102299156B (zh) * 2010-06-28 2014-02-12 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102593000A (zh) * 2011-01-13 2012-07-18 中国科学院微电子研究所 半导体器件及其制造方法
CN102593000B (zh) * 2011-01-13 2015-01-14 中国科学院微电子研究所 半导体器件及其制造方法
CN102683397A (zh) * 2011-03-17 2012-09-19 联华电子股份有限公司 金属栅极结构及其制作方法
CN102760758A (zh) * 2011-04-26 2012-10-31 联华电子股份有限公司 金属栅极结构
CN102810561A (zh) * 2011-06-02 2012-12-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US9196697B2 (en) 2011-06-02 2015-11-24 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with an aluminum alloy gate
CN102810561B (zh) * 2011-06-02 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN102420187B (zh) * 2011-06-07 2014-02-05 上海华力微电子有限公司 一种改善先栅极工艺中高k栅电介质pmos负偏置温度不稳定性效应的方法
CN102420187A (zh) * 2011-06-07 2012-04-18 上海华力微电子有限公司 一种改善先栅极工艺中高k栅电介质pmos负偏置温度不稳定性效应的方法
CN102983156A (zh) * 2011-09-06 2013-03-20 联华电子股份有限公司 金属栅极结构及其工艺
CN108538809A (zh) * 2011-11-22 2018-09-14 台湾积体电路制造股份有限公司 具有电熔丝的集成电路及其形成方法
US9524934B2 (en) 2011-11-22 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with electrical fuses and methods of forming the same
CN103137553A (zh) * 2011-11-22 2013-06-05 台湾积体电路制造股份有限公司 具有电熔丝的集成电路及其形成方法
CN103137461A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 高k栅介质层的形成方法及形成装置、晶体管的形成方法
CN103137461B (zh) * 2011-12-02 2015-10-14 中芯国际集成电路制造(上海)有限公司 高k栅介质层的形成方法及形成装置、晶体管的形成方法
CN103367161A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN103456775B (zh) * 2012-05-30 2017-05-03 台湾积体电路制造股份有限公司 半导体器件的金属栅电极
CN103456775A (zh) * 2012-05-30 2013-12-18 台湾积体电路制造股份有限公司 半导体器件的金属栅电极
CN104051252B (zh) * 2013-03-11 2017-04-05 中芯国际集成电路制造(上海)有限公司 高k金属栅结构的制备方法
CN104051252A (zh) * 2013-03-11 2014-09-17 中芯国际集成电路制造(上海)有限公司 高k金属栅结构的制备方法
CN105529304A (zh) * 2014-09-30 2016-04-27 联华电子股份有限公司 半导体装置与其制造方法
CN105529304B (zh) * 2014-09-30 2019-06-18 联华电子股份有限公司 半导体装置与其制造方法
CN107424926A (zh) * 2016-05-24 2017-12-01 中芯国际集成电路制造(北京)有限公司 一种半导体器件及其制造方法
CN109411338A (zh) * 2017-08-15 2019-03-01 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN109411338B (zh) * 2017-08-15 2020-10-20 台湾积体电路制造股份有限公司 制造半导体元件的方法
US10818555B2 (en) 2017-08-15 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having planar transistor and FinFET
US11328958B2 (en) 2017-08-15 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having planar transistor and FinFET
CN112789706A (zh) * 2018-08-28 2021-05-11 应用材料公司 沉积金属碳化物膜的方法

Also Published As

Publication number Publication date
WO2006036671A1 (en) 2006-04-06
TW200623430A (en) 2006-07-01
KR20070046201A (ko) 2007-05-02
US7126199B2 (en) 2006-10-24
JP2008515190A (ja) 2008-05-08
EP1794790A1 (en) 2007-06-13
US20060065939A1 (en) 2006-03-30
CN101027770B (zh) 2010-12-22
TWI287875B (en) 2007-10-01
KR100881477B1 (ko) 2009-02-05

Similar Documents

Publication Publication Date Title
CN101027770B (zh) 一种包括金属栅电极的集成电路及制备金属栅电极的方法
CN101027761B (zh) 使半导体结构平坦化以形成替代金属栅
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
CN102656672B (zh) 具有自对准外延源和漏的多栅半导体器件及其制造方法
JP5672334B2 (ja) 半導体装置の製造方法
US7390709B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US6974764B2 (en) Method for making a semiconductor device having a metal gate electrode
CN101427386A (zh) 阻挡层的选择性实施以实现在具有高k电介质的CMOS器件制造中的阈值电压控制
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
CN104810368A (zh) Cmos晶体管及其形成方法
KR100836763B1 (ko) 반도체 소자 및 그 형성 방법
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20060148150A1 (en) Tailoring channel dopant profiles
CN103515207A (zh) 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant