KR100881477B1 - 금속 게이트 전극 반도체 장치 - Google Patents
금속 게이트 전극 반도체 장치 Download PDFInfo
- Publication number
- KR100881477B1 KR100881477B1 KR1020077006955A KR20077006955A KR100881477B1 KR 100881477 B1 KR100881477 B1 KR 100881477B1 KR 1020077006955 A KR1020077006955 A KR 1020077006955A KR 20077006955 A KR20077006955 A KR 20077006955A KR 100881477 B1 KR100881477 B1 KR 100881477B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- metal
- delete delete
- dielectric constant
- gate
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 79
- 239000002184 metal Substances 0.000 title claims abstract description 79
- 239000004065 semiconductor Substances 0.000 title claims abstract description 10
- 238000000034 method Methods 0.000 claims abstract description 49
- 239000000758 substrate Substances 0.000 claims abstract description 20
- 230000004888 barrier function Effects 0.000 claims abstract description 12
- 150000004767 nitrides Chemical class 0.000 claims description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 3
- 230000008569 process Effects 0.000 abstract description 38
- 239000003989 dielectric material Substances 0.000 abstract description 8
- 229910044991 metal oxide Inorganic materials 0.000 abstract description 3
- 150000004706 metal oxides Chemical class 0.000 abstract description 3
- 230000000295 complement effect Effects 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 159
- 239000000463 material Substances 0.000 description 20
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 125000006850 spacer group Chemical group 0.000 description 10
- 239000002253 acid Substances 0.000 description 9
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 8
- 229920005591 polysilicon Polymers 0.000 description 8
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 238000001039 wet etching Methods 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- 239000000243 solution Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 150000007513 acids Chemical class 0.000 description 5
- 238000000137 annealing Methods 0.000 description 5
- 239000008367 deionised water Substances 0.000 description 5
- 229910021641 deionized water Inorganic materials 0.000 description 5
- -1 i.e. Substances 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000011049 filling Methods 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 229910000449 hafnium oxide Inorganic materials 0.000 description 4
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 229910001928 zirconium oxide Inorganic materials 0.000 description 4
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 3
- 239000000908 ammonium hydroxide Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 150000002366 halogen compounds Chemical class 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 2
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 2
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 2
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 230000002045 lasting effect Effects 0.000 description 2
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 229910001510 metal chloride Inorganic materials 0.000 description 2
- 239000012702 metal oxide precursor Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000000527 sonication Methods 0.000 description 2
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 2
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 2
- BMYNFMYTOJXKLE-UHFFFAOYSA-N 3-azaniumyl-2-hydroxypropanoate Chemical compound NCC(O)C(O)=O BMYNFMYTOJXKLE-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- MEWCPXSDLIWQER-UHFFFAOYSA-N aluminum oxygen(2-) yttrium(3+) Chemical compound [O-2].[Y+3].[O-2].[Al+3] MEWCPXSDLIWQER-UHFFFAOYSA-N 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003792 electrolyte Substances 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- OCGWQDWYSQAFTO-UHFFFAOYSA-N tellanylidenelead Chemical compound [Pb]=[Te] OCGWQDWYSQAFTO-UHFFFAOYSA-N 0.000 description 1
- 150000005622 tetraalkylammonium hydroxides Chemical class 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
Claims (21)
- 더미(dummy) 게이트 전극을 제거하는 단계;반도체 기판 위의, 상기 더미 게이트 전극이 이전에 점유하던 위치에 U자 형상의 고 유전상수 게이트 유전체(U-shaped high dielectric constant gate dielectric)를 형성하는 단계; 및금속 게이트 전극 - 상기 금속 게이트 전극은 상기 게이트 유전체 위의 U자 형상의 질소함유 금속 배리어층(U-shaped nitrogen containing metal barrier layer), 상기 금속 배리어층 위의 U자 형상의 질화물 함유 일함수 설정 금속층(U-shaped nitride containing workfunction setting metal layer) 및 상기 U자 형상의 일함수 설정 금속층 위의 캡 금속층(cap metal layer)을 포함함 - 을 형성하는 단계를 포함하는 방법.
- 제1항에 있어서,n형 금속 게이트 구조를 형성하는 단계를 포함하는 방법.
- 제1항에 있어서,p형 금속 게이트 구조를 형성하는 단계를 포함하는 방법.
- 제1항에 있어서,두께가 약 100Å이고 일함수가 3.9eV와 4.2eV 사이인 n형 일함수 설정 금속을 형성하는 단계를 포함하는 방법.
- 제1항에 있어서,두께가 약 100Å이고 일함수가 4.9 내지 5.2eV인 p형 일함수 금속층을 형성하는 단계를 포함하는 방법.
- 삭제
- 제1항에 있어서,상기 캡 금속층을 200Å과 1000Å 사이의 두께로 형성하는 단계를 포함하는 방법.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/951,073 US7126199B2 (en) | 2004-09-27 | 2004-09-27 | Multilayer metal gate electrode |
US10/951,073 | 2004-09-27 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070046201A KR20070046201A (ko) | 2007-05-02 |
KR100881477B1 true KR100881477B1 (ko) | 2009-02-05 |
Family
ID=35708739
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020077006955A KR100881477B1 (ko) | 2004-09-27 | 2005-09-16 | 금속 게이트 전극 반도체 장치 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7126199B2 (ko) |
EP (1) | EP1794790A1 (ko) |
JP (1) | JP2008515190A (ko) |
KR (1) | KR100881477B1 (ko) |
CN (1) | CN101027770B (ko) |
TW (1) | TWI287875B (ko) |
WO (1) | WO2006036671A1 (ko) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102163609A (zh) * | 2010-02-17 | 2011-08-24 | 台湾积体电路制造股份有限公司 | 半导体装置 |
KR101556434B1 (ko) | 2012-08-30 | 2015-10-01 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 반도체 소자의 금속 게이트 구조 |
Families Citing this family (165)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7256113B1 (en) * | 2001-12-14 | 2007-08-14 | Advanced Micro Devices, Inc. | System for forming a semiconductor device and method thereof |
US7611943B2 (en) * | 2004-10-20 | 2009-11-03 | Texas Instruments Incorporated | Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation |
US7442319B2 (en) * | 2005-06-28 | 2008-10-28 | Micron Technology, Inc. | Poly etch without separate oxide decap |
KR100661217B1 (ko) * | 2005-12-29 | 2006-12-22 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 |
US7859112B2 (en) * | 2006-01-13 | 2010-12-28 | Micron Technology, Inc. | Additional metal routing in semiconductor devices |
US8193641B2 (en) | 2006-05-09 | 2012-06-05 | Intel Corporation | Recessed workfunction metal in CMOS transistor gates |
JP4492589B2 (ja) * | 2006-06-20 | 2010-06-30 | ソニー株式会社 | 半導体装置の製造方法 |
US7821050B1 (en) * | 2006-07-31 | 2010-10-26 | Altera Corporation | CRAM transistors with high immunity to soft error |
US20080076216A1 (en) * | 2006-09-25 | 2008-03-27 | Sangwoo Pae | Method to fabricate high-k/metal gate transistors using a double capping layer process |
US20090045458A1 (en) * | 2007-08-15 | 2009-02-19 | Advanced Micro Devices, Inc. | Mos transistors for thin soi integration and methods for fabricating the same |
US8034678B2 (en) * | 2008-01-17 | 2011-10-11 | Kabushiki Kaisha Toshiba | Complementary metal oxide semiconductor device fabrication method |
EP2112687B1 (en) * | 2008-04-22 | 2012-09-19 | Imec | Method for fabricating a dual workfunction semiconductor device and the device made thereof |
US7759262B2 (en) * | 2008-06-30 | 2010-07-20 | Intel Corporation | Selective formation of dielectric etch stop layers |
US8304349B2 (en) * | 2008-08-18 | 2012-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method to integrate gate etching as all-in-one process for high K metal gate |
US7888195B2 (en) * | 2008-08-26 | 2011-02-15 | United Microelectronics Corp. | Metal gate transistor and method for fabricating the same |
US7947588B2 (en) * | 2008-08-26 | 2011-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode |
US8084824B2 (en) * | 2008-09-11 | 2011-12-27 | United Microelectronics Corp. | Metal gate transistor and method for fabricating the same |
CN101677064B (zh) * | 2008-09-15 | 2012-01-04 | 台湾积体电路制造股份有限公司 | 制造半导体装置的方法 |
US7871915B2 (en) * | 2008-09-26 | 2011-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming metal gates in a gate last process |
JP2010129978A (ja) * | 2008-12-01 | 2010-06-10 | Rohm Co Ltd | 半導体装置の製造方法 |
US7838356B2 (en) * | 2008-12-31 | 2010-11-23 | Texas Instruments Incorporated | Gate dielectric first replacement gate processes and integrated circuits therefrom |
US8294202B2 (en) * | 2009-07-08 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate structure of a semiconductor device |
US7915127B2 (en) * | 2009-07-27 | 2011-03-29 | United Microelectronics Corp. | Manufacturing method of semiconductor device |
US8304841B2 (en) * | 2009-09-14 | 2012-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate transistor, integrated circuits, systems, and fabrication methods thereof |
DE102009046260B4 (de) * | 2009-10-30 | 2020-02-06 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements |
KR101656443B1 (ko) * | 2009-11-20 | 2016-09-22 | 삼성전자주식회사 | 금속 게이트 스택 구조물을 갖는 씨모스 소자 |
TWI476838B (zh) * | 2009-11-30 | 2015-03-11 | United Microelectronics Corp | 具金屬閘極之半導體結構與形成方法 |
US9048254B2 (en) | 2009-12-02 | 2015-06-02 | United Microelectronics Corp. | Semiconductor structure having a metal gate with side wall spacers |
KR101634748B1 (ko) | 2009-12-08 | 2016-07-11 | 삼성전자주식회사 | 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법 |
CN102097376B (zh) * | 2009-12-10 | 2013-05-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的制作方法 |
US8436404B2 (en) | 2009-12-30 | 2013-05-07 | Intel Corporation | Self-aligned contacts |
KR101656444B1 (ko) * | 2010-01-25 | 2016-09-09 | 삼성전자주식회사 | 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈 |
US8088685B2 (en) * | 2010-02-09 | 2012-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integration of bottom-up metal film deposition |
JP5937297B2 (ja) * | 2010-03-01 | 2016-06-22 | キヤノンアネルバ株式会社 | 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法 |
CN102194693B (zh) * | 2010-03-16 | 2013-05-22 | 中国科学院微电子研究所 | 一种半导体器件及其制造方法 |
US8680619B2 (en) | 2010-03-16 | 2014-03-25 | Taiwan Semiconductor Manufacturing Compnay, Ltd. | Method of fabricating hybrid impact-ionization semiconductor device |
US8564072B2 (en) * | 2010-04-02 | 2013-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a blocking structure and method of manufacturing the same |
US8310012B2 (en) * | 2010-04-13 | 2012-11-13 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
CN102237399B (zh) * | 2010-04-22 | 2015-01-07 | 联华电子股份有限公司 | 具有金属栅极的半导体元件及其制作方法 |
TWI536451B (zh) * | 2010-04-26 | 2016-06-01 | 應用材料股份有限公司 | 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備 |
CN102254805B (zh) * | 2010-05-19 | 2013-07-24 | 中国科学院微电子研究所 | 一种适用于nmos器件的金属栅功函数的调节方法 |
US8492852B2 (en) * | 2010-06-02 | 2013-07-23 | International Business Machines Corporation | Interface structure for channel mobility improvement in high-k metal gate stack |
US8637390B2 (en) * | 2010-06-04 | 2014-01-28 | Applied Materials, Inc. | Metal gate structures and methods for forming thereof |
US8373239B2 (en) * | 2010-06-08 | 2013-02-12 | International Business Machines Corporation | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric |
CN102299154B (zh) * | 2010-06-22 | 2013-06-12 | 中国科学院微电子研究所 | 半导体结构及其制作方法 |
CN102299155A (zh) * | 2010-06-22 | 2011-12-28 | 中国科学院微电子研究所 | 一种半导体器件及其制造方法 |
CN102299156B (zh) * | 2010-06-28 | 2014-02-12 | 中国科学院微电子研究所 | 一种半导体器件及其制造方法 |
KR101052930B1 (ko) * | 2010-06-30 | 2011-07-29 | 주식회사 하이닉스반도체 | 반도체 소자의 매몰 게이트 및 형성 방법 |
KR101781620B1 (ko) * | 2010-09-01 | 2017-09-25 | 삼성전자주식회사 | 모오스 트랜지스터의 제조방법 |
KR20120051915A (ko) * | 2010-11-15 | 2012-05-23 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
US8350365B1 (en) * | 2011-01-13 | 2013-01-08 | Xilinx, Inc. | Mitigation of well proximity effect in integrated circuits |
CN102593000B (zh) * | 2011-01-13 | 2015-01-14 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
JP5883563B2 (ja) * | 2011-01-31 | 2016-03-15 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US8574990B2 (en) | 2011-02-24 | 2013-11-05 | United Microelectronics Corp. | Method of manufacturing semiconductor device having metal gate |
US9166020B2 (en) | 2011-03-01 | 2015-10-20 | United Microelectronics Corp. | Metal gate structure and manufacturing method thereof |
US8642457B2 (en) | 2011-03-03 | 2014-02-04 | United Microelectronics Corp. | Method of fabricating semiconductor device |
US8501634B2 (en) | 2011-03-10 | 2013-08-06 | United Microelectronics Corp. | Method for fabricating gate structure |
CN102683397B (zh) * | 2011-03-17 | 2016-04-06 | 联华电子股份有限公司 | 金属栅极结构及其制作方法 |
US8519487B2 (en) | 2011-03-21 | 2013-08-27 | United Microelectronics Corp. | Semiconductor device |
US8802524B2 (en) | 2011-03-22 | 2014-08-12 | United Microelectronics Corp. | Method of manufacturing semiconductor device having metal gates |
US8324118B2 (en) | 2011-03-28 | 2012-12-04 | United Microelectronics Corp. | Manufacturing method of metal gate structure |
US9384962B2 (en) | 2011-04-07 | 2016-07-05 | United Microelectronics Corp. | Oxygen treatment of replacement work-function metals in CMOS transistor gates |
US20120261770A1 (en) * | 2011-04-14 | 2012-10-18 | Kun-Hsien Lin | Metal gate structure |
US20120264284A1 (en) * | 2011-04-14 | 2012-10-18 | Wang shao-wei | Manufacturing method for metal gate structure |
CN102760758A (zh) * | 2011-04-26 | 2012-10-31 | 联华电子股份有限公司 | 金属栅极结构 |
US8530980B2 (en) | 2011-04-27 | 2013-09-10 | United Microelectronics Corp. | Gate stack structure with etch stop layer and manufacturing process thereof |
US8841733B2 (en) | 2011-05-17 | 2014-09-23 | United Microelectronics Corp. | Semiconductor device and method of fabricating the same |
US20120306026A1 (en) * | 2011-05-31 | 2012-12-06 | International Business Machines Corporation | Replacement gate electrode with a tungsten diffusion barrier layer |
CN102810561B (zh) * | 2011-06-02 | 2015-12-02 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其制造方法 |
CN102420187B (zh) * | 2011-06-07 | 2014-02-05 | 上海华力微电子有限公司 | 一种改善先栅极工艺中高k栅电介质pmos负偏置温度不稳定性效应的方法 |
US8704294B2 (en) | 2011-06-13 | 2014-04-22 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US8673758B2 (en) | 2011-06-16 | 2014-03-18 | United Microelectronics Corp. | Structure of metal gate and fabrication method thereof |
US9490342B2 (en) | 2011-06-16 | 2016-11-08 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US20120319198A1 (en) | 2011-06-16 | 2012-12-20 | Chin-Cheng Chien | Semiconductor device and fabrication method thereof |
US8536038B2 (en) | 2011-06-21 | 2013-09-17 | United Microelectronics Corp. | Manufacturing method for metal gate using ion implantation |
US8674452B2 (en) | 2011-06-24 | 2014-03-18 | United Microelectronics Corp. | Semiconductor device with lower metal layer thickness in PMOS region |
US8486790B2 (en) | 2011-07-18 | 2013-07-16 | United Microelectronics Corp. | Manufacturing method for metal gate |
US8569135B2 (en) * | 2011-07-20 | 2013-10-29 | International Business Machines Corporation | Replacement gate electrode with planar work function material layers |
US8580625B2 (en) | 2011-07-22 | 2013-11-12 | Tsuo-Wen Lu | Metal oxide semiconductor transistor and method of manufacturing the same |
US8580641B2 (en) * | 2011-07-26 | 2013-11-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Techniques providing high-k dielectric metal gate CMOS |
US9755039B2 (en) * | 2011-07-28 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a metal gate electrode stack |
US9076817B2 (en) * | 2011-08-04 | 2015-07-07 | International Business Machines Corporation | Epitaxial extension CMOS transistor |
US8551876B2 (en) | 2011-08-18 | 2013-10-08 | United Microelectronics Corp. | Manufacturing method for semiconductor device having metal gate |
US9478623B2 (en) | 2011-08-22 | 2016-10-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate structure |
US8872286B2 (en) | 2011-08-22 | 2014-10-28 | United Microelectronics Corp. | Metal gate structure and fabrication method thereof |
US9048334B2 (en) * | 2011-08-22 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate structure |
US8477006B2 (en) | 2011-08-30 | 2013-07-02 | United Microelectronics Corp. | Resistor and manufacturing method thereof |
CN102983156A (zh) * | 2011-09-06 | 2013-03-20 | 联华电子股份有限公司 | 金属栅极结构及其工艺 |
US8445345B2 (en) | 2011-09-08 | 2013-05-21 | International Business Machines Corporation | CMOS structure having multiple threshold voltage devices |
US8921238B2 (en) | 2011-09-19 | 2014-12-30 | United Microelectronics Corp. | Method for processing high-k dielectric layer |
US8426277B2 (en) | 2011-09-23 | 2013-04-23 | United Microelectronics Corp. | Semiconductor process |
US9000568B2 (en) | 2011-09-26 | 2015-04-07 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
US8765588B2 (en) | 2011-09-28 | 2014-07-01 | United Microelectronics Corp. | Semiconductor process |
US8802579B2 (en) | 2011-10-12 | 2014-08-12 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
US8753968B2 (en) * | 2011-10-24 | 2014-06-17 | United Microelectronics Corp. | Metal gate process |
US8716124B2 (en) | 2011-11-14 | 2014-05-06 | Advanced Micro Devices | Trench silicide and gate open with local interconnect with replacement gate process |
US8440511B1 (en) | 2011-11-16 | 2013-05-14 | United Microelectronics Corp. | Method for manufacturing multi-gate transistor device |
US8658487B2 (en) * | 2011-11-17 | 2014-02-25 | United Microelectronics Corp. | Semiconductor device and fabrication method thereof |
US9524934B2 (en) * | 2011-11-22 | 2016-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits with electrical fuses and methods of forming the same |
US8709930B2 (en) | 2011-11-25 | 2014-04-29 | United Microelectronics Corp. | Semiconductor process |
CN103137461B (zh) * | 2011-12-02 | 2015-10-14 | 中芯国际集成电路制造(上海)有限公司 | 高k栅介质层的形成方法及形成装置、晶体管的形成方法 |
US9159823B2 (en) | 2011-12-09 | 2015-10-13 | Intel Corporation | Strain compensation in transistors |
US8669618B2 (en) * | 2011-12-15 | 2014-03-11 | United Microelectronics Corp. | Manufacturing method for semiconductor device having metal gate |
US8546212B2 (en) | 2011-12-21 | 2013-10-01 | United Microelectronics Corp. | Semiconductor device and fabricating method thereof |
US8691681B2 (en) | 2012-01-04 | 2014-04-08 | United Microelectronics Corp. | Semiconductor device having a metal gate and fabricating method thereof |
US8987096B2 (en) | 2012-02-07 | 2015-03-24 | United Microelectronics Corp. | Semiconductor process |
US8952392B2 (en) * | 2012-02-08 | 2015-02-10 | United Microelectronics Corp. | Semiconductor structure and process thereof |
US8860135B2 (en) | 2012-02-21 | 2014-10-14 | United Microelectronics Corp. | Semiconductor structure having aluminum layer with high reflectivity |
US8860181B2 (en) | 2012-03-07 | 2014-10-14 | United Microelectronics Corp. | Thin film resistor structure |
CN103367161A (zh) * | 2012-03-31 | 2013-10-23 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管的形成方法 |
US8951855B2 (en) | 2012-04-24 | 2015-02-10 | United Microelectronics Corp. | Manufacturing method for semiconductor device having metal gate |
KR101909091B1 (ko) | 2012-05-11 | 2018-10-17 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US8877623B2 (en) * | 2012-05-14 | 2014-11-04 | United Microelectronics Corp. | Method of forming semiconductor device |
KR20130127257A (ko) * | 2012-05-14 | 2013-11-22 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9478627B2 (en) | 2012-05-18 | 2016-10-25 | United Microelectronics Corp. | Semiconductor structure and process thereof |
US9105623B2 (en) | 2012-05-25 | 2015-08-11 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9991375B2 (en) * | 2012-05-30 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate electrode of a semiconductor device |
US8836049B2 (en) | 2012-06-13 | 2014-09-16 | United Microelectronics Corp. | Semiconductor structure and process thereof |
ITTO20120516A1 (it) | 2012-06-14 | 2013-12-15 | St Microelectronics Srl | Metodo di fabbricazione di un sensore elettrochimico basato su grafene e sensore elettrochimico |
KR20140006204A (ko) * | 2012-06-27 | 2014-01-16 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US8501636B1 (en) | 2012-07-24 | 2013-08-06 | United Microelectronics Corp. | Method for fabricating silicon dioxide layer |
US8975666B2 (en) | 2012-08-22 | 2015-03-10 | United Microelectronics Corp. | MOS transistor and process thereof |
US8999831B2 (en) * | 2012-11-19 | 2015-04-07 | International Business Machines Corporation | Method to improve reliability of replacement gate device |
US9054172B2 (en) | 2012-12-05 | 2015-06-09 | United Microelectrnics Corp. | Semiconductor structure having contact plug and method of making the same |
US9117878B2 (en) | 2012-12-11 | 2015-08-25 | United Microelectronics Corp. | Method for manufacturing shallow trench isolation |
US8735269B1 (en) | 2013-01-15 | 2014-05-27 | United Microelectronics Corp. | Method for forming semiconductor structure having TiN layer |
US9129985B2 (en) | 2013-03-05 | 2015-09-08 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
CN104051252B (zh) * | 2013-03-11 | 2017-04-05 | 中芯国际集成电路制造(上海)有限公司 | 高k金属栅结构的制备方法 |
US10438856B2 (en) | 2013-04-03 | 2019-10-08 | Stmicroelectronics, Inc. | Methods and devices for enhancing mobility of charge carriers |
US9653300B2 (en) | 2013-04-16 | 2017-05-16 | United Microelectronics Corp. | Structure of metal gate structure and manufacturing method of the same |
US9023708B2 (en) | 2013-04-19 | 2015-05-05 | United Microelectronics Corp. | Method of forming semiconductor device |
US9184254B2 (en) | 2013-05-02 | 2015-11-10 | United Microelectronics Corporation | Field-effect transistor and fabricating method thereof |
US9159798B2 (en) | 2013-05-03 | 2015-10-13 | United Microelectronics Corp. | Replacement gate process and device manufactured using the same |
US9196542B2 (en) | 2013-05-22 | 2015-11-24 | United Microelectronics Corp. | Method for manufacturing semiconductor devices |
US8921947B1 (en) | 2013-06-10 | 2014-12-30 | United Microelectronics Corp. | Multi-metal gate semiconductor device having triple diameter metal opening |
US9064814B2 (en) | 2013-06-19 | 2015-06-23 | United Microelectronics Corp. | Semiconductor structure having metal gate and manufacturing method thereof |
US9349742B2 (en) * | 2013-06-21 | 2016-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Embedded memory and methods of forming the same |
US9245972B2 (en) | 2013-09-03 | 2016-01-26 | United Microelectronics Corp. | Method for manufacturing semiconductor device |
US9384984B2 (en) | 2013-09-03 | 2016-07-05 | United Microelectronics Corp. | Semiconductor structure and method of forming the same |
US20150069534A1 (en) | 2013-09-11 | 2015-03-12 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
US9105720B2 (en) | 2013-09-11 | 2015-08-11 | United Microelectronics Corp. | Semiconductor device having metal gate and manufacturing method thereof |
US9196546B2 (en) | 2013-09-13 | 2015-11-24 | United Microelectronics Corp. | Metal gate transistor |
US9281201B2 (en) | 2013-09-18 | 2016-03-08 | United Microelectronics Corp. | Method of manufacturing semiconductor device having metal gate |
CN104517822B (zh) * | 2013-09-27 | 2017-06-16 | 中芯国际集成电路制造(北京)有限公司 | 一种半导体器件的制造方法 |
US8951884B1 (en) | 2013-11-14 | 2015-02-10 | United Microelectronics Corp. | Method for forming a FinFET structure |
JP6402017B2 (ja) * | 2013-12-26 | 2018-10-10 | 株式会社半導体エネルギー研究所 | 半導体装置 |
US9318490B2 (en) | 2014-01-13 | 2016-04-19 | United Microelectronics Corp. | Semiconductor structure and manufacturing method thereof |
US9231071B2 (en) | 2014-02-24 | 2016-01-05 | United Microelectronics Corp. | Semiconductor structure and manufacturing method of the same |
JP6130316B2 (ja) | 2014-03-11 | 2017-05-17 | 信越化学工業株式会社 | 研磨組成物及び研磨方法並びに研磨組成物の製造方法 |
US9947772B2 (en) | 2014-03-31 | 2018-04-17 | Stmicroelectronics, Inc. | SOI FinFET transistor with strained channel |
KR102127644B1 (ko) | 2014-06-10 | 2020-06-30 | 삼성전자 주식회사 | 반도체 소자의 제조 방법 |
JP6268069B2 (ja) | 2014-09-12 | 2018-01-24 | 信越化学工業株式会社 | 研磨組成物及び研磨方法 |
CN105529304B (zh) * | 2014-09-30 | 2019-06-18 | 联华电子股份有限公司 | 半导体装置与其制造方法 |
US9954112B2 (en) | 2015-01-26 | 2018-04-24 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9496183B1 (en) | 2015-05-07 | 2016-11-15 | International Business Machines Corporation | Selective thickening of pFET dielectric |
US10861701B2 (en) * | 2015-06-29 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
CN107424926B (zh) * | 2016-05-24 | 2020-04-10 | 中芯国际集成电路制造(北京)有限公司 | 一种半导体器件及其制造方法 |
US10475895B2 (en) * | 2017-05-25 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10483167B2 (en) | 2017-08-15 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing dual FinFET device |
US10607895B2 (en) * | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10504795B2 (en) | 2018-03-27 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for patterning a lanthanum containing layer |
US20200071825A1 (en) * | 2018-08-28 | 2020-03-05 | Applied Materials, Inc. | Methods Of Depositing Metal Carbide Films |
KR20210038762A (ko) | 2019-09-30 | 2021-04-08 | 삼성전자주식회사 | 반도체 장치 |
DE102021106285A1 (de) | 2020-06-05 | 2021-12-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-struktur und verfahren |
US11699735B2 (en) | 2020-06-05 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20000058131A (ko) * | 1999-02-26 | 2000-09-25 | 윌리엄 비. 켐플러 | 이중 금속 게이트 구조 혹은 cmos 장치의 형성 방법 |
US6271132B1 (en) * | 1999-05-03 | 2001-08-07 | Advanced Micro Devices, Inc. | Self-aligned source and drain extensions fabricated in a damascene contact and gate process |
KR20030058943A (ko) * | 2000-06-12 | 2003-07-07 | 모토로라 인코포레이티드 | Cmos 공정을 위한 2중금속 게이트 트랜지스터 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5112761A (en) * | 1990-01-10 | 1992-05-12 | Microunity Systems Engineering | Bicmos process utilizing planarization technique |
JP2885616B2 (ja) * | 1992-07-31 | 1999-04-26 | 株式会社東芝 | 半導体装置およびその製造方法 |
US6240199B1 (en) * | 1997-07-24 | 2001-05-29 | Agere Systems Guardian Corp. | Electronic apparatus having improved scratch and mechanical resistance |
JP2000223588A (ja) * | 1999-02-03 | 2000-08-11 | Nec Corp | 相補mis型半導体装置及びその製造方法 |
JP4237332B2 (ja) * | 1999-04-30 | 2009-03-11 | 株式会社東芝 | 半導体装置の製造方法 |
US6159782A (en) * | 1999-08-05 | 2000-12-12 | Advanced Micro Devices, Inc. | Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant |
WO2001071807A1 (fr) * | 2000-03-24 | 2001-09-27 | Fujitsu Limited | Dispositif a semi-conducteur et son procede de fabrication |
JP2001284466A (ja) * | 2000-03-29 | 2001-10-12 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JP4751498B2 (ja) * | 2000-03-30 | 2011-08-17 | 富士通株式会社 | 半導体三端子装置 |
US6713846B1 (en) * | 2001-01-26 | 2004-03-30 | Aviza Technology, Inc. | Multilayer high κ dielectric films |
US6511911B1 (en) * | 2001-04-03 | 2003-01-28 | Advanced Micro Devices, Inc. | Metal gate stack with etch stop layer |
KR100426441B1 (ko) * | 2001-11-01 | 2004-04-14 | 주식회사 하이닉스반도체 | 반도체 소자의 시모스(cmos) 및 그의 제조 방법 |
US6653698B2 (en) * | 2001-12-20 | 2003-11-25 | International Business Machines Corporation | Integration of dual workfunction metal gate CMOS devices |
US6696345B2 (en) * | 2002-01-07 | 2004-02-24 | Intel Corporation | Metal-gate electrode for CMOS transistor applications |
US20040002202A1 (en) * | 2002-06-26 | 2004-01-01 | Horsky Thomas Neil | Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions |
JP4197607B2 (ja) * | 2002-11-06 | 2008-12-17 | 株式会社東芝 | 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法 |
US6858524B2 (en) * | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
US7122414B2 (en) * | 2002-12-03 | 2006-10-17 | Asm International, Inc. | Method to fabricate dual metal CMOS devices |
US6873048B2 (en) * | 2003-02-27 | 2005-03-29 | Sharp Laboratories Of America, Inc. | System and method for integrating multiple metal gates for CMOS applications |
-
2004
- 2004-09-27 US US10/951,073 patent/US7126199B2/en not_active Expired - Lifetime
-
2005
- 2005-09-16 JP JP2007533588A patent/JP2008515190A/ja active Pending
- 2005-09-16 CN CN2005800324404A patent/CN101027770B/zh active Active
- 2005-09-16 EP EP05817332A patent/EP1794790A1/en not_active Ceased
- 2005-09-16 WO PCT/US2005/033698 patent/WO2006036671A1/en active Application Filing
- 2005-09-16 KR KR1020077006955A patent/KR100881477B1/ko active IP Right Grant
- 2005-09-19 TW TW094132332A patent/TWI287875B/zh active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20000058131A (ko) * | 1999-02-26 | 2000-09-25 | 윌리엄 비. 켐플러 | 이중 금속 게이트 구조 혹은 cmos 장치의 형성 방법 |
US6271132B1 (en) * | 1999-05-03 | 2001-08-07 | Advanced Micro Devices, Inc. | Self-aligned source and drain extensions fabricated in a damascene contact and gate process |
KR20030058943A (ko) * | 2000-06-12 | 2003-07-07 | 모토로라 인코포레이티드 | Cmos 공정을 위한 2중금속 게이트 트랜지스터 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102163609A (zh) * | 2010-02-17 | 2011-08-24 | 台湾积体电路制造股份有限公司 | 半导体装置 |
CN102163609B (zh) * | 2010-02-17 | 2013-06-05 | 台湾积体电路制造股份有限公司 | 半导体装置 |
KR101556434B1 (ko) | 2012-08-30 | 2015-10-01 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 반도체 소자의 금속 게이트 구조 |
Also Published As
Publication number | Publication date |
---|---|
KR20070046201A (ko) | 2007-05-02 |
WO2006036671A1 (en) | 2006-04-06 |
TWI287875B (en) | 2007-10-01 |
EP1794790A1 (en) | 2007-06-13 |
CN101027770A (zh) | 2007-08-29 |
JP2008515190A (ja) | 2008-05-08 |
US20060065939A1 (en) | 2006-03-30 |
TW200623430A (en) | 2006-07-01 |
CN101027770B (zh) | 2010-12-22 |
US7126199B2 (en) | 2006-10-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100881477B1 (ko) | 금속 게이트 전극 반도체 장치 | |
US7422936B2 (en) | Facilitating removal of sacrificial layers via implantation to form replacement metal gates | |
US7439113B2 (en) | Forming dual metal complementary metal oxide semiconductor integrated circuits | |
JP5154222B2 (ja) | 置換金属ゲート形成のための半導体構造の平坦化 | |
US7220635B2 (en) | Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer | |
US7704833B2 (en) | Method of forming abrupt source drain metal gate transistors | |
US7569443B2 (en) | Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate | |
US7183184B2 (en) | Method for making a semiconductor device that includes a metal gate electrode | |
TW200843110A (en) | Semiconductor device manufacturing method and semiconductor device | |
JP2010010508A (ja) | 半導体装置および半導体装置の製造方法 | |
JP4771024B2 (ja) | 半導体装置の製造方法 | |
US20060046523A1 (en) | Facilitating removal of sacrificial layers to form replacement metal gates | |
US7192856B2 (en) | Forming dual metal complementary metal oxide semiconductor integrated circuits | |
US7425490B2 (en) | Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics | |
US20050287746A1 (en) | Facilitating removal of sacrificial layers to form replacement metal gates | |
US20060148150A1 (en) | Tailoring channel dopant profiles |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
J201 | Request for trial against refusal decision | ||
AMND | Amendment | ||
B701 | Decision to grant | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130104 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20140103 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20141230 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20160104 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20170102 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20180103 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20190103 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20200103 Year of fee payment: 12 |