JP5154222B2 - 置換金属ゲート形成のための半導体構造の平坦化 - Google Patents

置換金属ゲート形成のための半導体構造の平坦化 Download PDF

Info

Publication number
JP5154222B2
JP5154222B2 JP2007523624A JP2007523624A JP5154222B2 JP 5154222 B2 JP5154222 B2 JP 5154222B2 JP 2007523624 A JP2007523624 A JP 2007523624A JP 2007523624 A JP2007523624 A JP 2007523624A JP 5154222 B2 JP5154222 B2 JP 5154222B2
Authority
JP
Japan
Prior art keywords
layer
metal
sacrificial
gate
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007523624A
Other languages
English (en)
Other versions
JP2008507856A (ja
Inventor
カヴァリエロス,ジャック
ブラスク,ジャスティン
ドクジー,マーク
シャー,ウダイ
バーンズ,クリス
メッツ,マシュー
ダッタ,サマン
ショー,ロバート
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2008507856A publication Critical patent/JP2008507856A/ja
Application granted granted Critical
Publication of JP5154222B2 publication Critical patent/JP5154222B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体デバイスの製造方法に関し、特に金属ゲート電極を有する半導体デバイスの製造方法に関する。
二酸化珪素からなる極めて薄いゲート誘電体を有するMOS電界効果トランジスタにおいては、許容限界を超えるゲートリーク電流が生じる場合がある。二酸化珪素に代えて、ある高誘電率(K)の誘電体材料でゲート誘電体を構成した場合、ゲートリーク電流を抑制することができる。ここで、高K誘電体とは、10よりも高い誘電率を有する場合に使用される。ただし、最初に、高k誘電体膜が形成されると、それは、僅かに不完全な分子構造となる。そのような膜を修復するためには、比較的高温での熱処理が必要となる。
そのような高k誘電体層は、ポリシリコンと両立させることはできないため、高kゲート誘電体を含むデバイスには、金属ゲート電極を使用することが望ましい。金属ゲート電極を有するCMOSデバイスを製作する場合、異なる材料からNMOSおよびPMOSゲート電極を形成することが必要となる。置換ゲート処理プロセスを用いた場合、異なる材料でゲート電極を形成することができる。この処理プロセスでは、スペーサ組によって囲まれた第1のポリシリコン層は、第2のポリシリコン層に対して選択的に除去され、スペーサの間に溝が形成される。溝には第1の金属が充填される。次に、第2のポリシリコン層が除去され、これが、第1の金属とは異なる第2の金属で置換される。
このように、置換金属ゲート電極を形成するための代替方法についてのニーズがある。
図面に示されている特徴物には、スケールは示されていない。
図1A乃至1Rには、本発明の方法を実施した際に形成される構造を示す。最初に、基板100上に、高kゲート誘電体層170と、犠牲金属層169とが形成され、図1aに示す構造が形成される。あるいは、図には示されていないが、ダミーゲート誘電体(例えば、20乃至30ÅのSiO2層)をこの部分に形成しておき、置換ゲート処理プロセスの際に、これを高K誘電体で置換しても良い。基板100は、バルクシリコンまたはシリコンオンインシュレータ基本構造を有しても良い。あるいは、基板100は、シリコンと組み合わされたまたは組み合わされない他の材料、例えば、ゲルマニウム、アンチモン化インジウム、テルル化鉛、ヒ素化インジウム、リン化インジウム、ヒ素化ガリウムまたはアンチモン化ガリウムを含んでも良い。基板100を構成する材料のいくつかの例を示したが、半導体デバイスを構築する土台として機能するいかなる材料も、本発明の思想および範囲に含まれる。
高kゲート誘電体層170を形成するために使用される材料には、ハフニウム酸化物、ハフニウムシリコン酸化物、ランタン酸化物、ランタンアルミニウム酸化物、ジルコニウム酸化物、ジルコニウムシリコン酸化物、タンタル酸化物、チタン酸化物、バリウムストロンチウムチタン酸化物、バリウムチタン酸化物、ストロンチウムチタン酸化物、イットリウム酸化物、アルミニウム酸化物、鉛スカンジウムタンタル酸化物、および鉛亜鉛ニオブ酸塩が含まれる。ハフニウム酸化物、ジルコニウム酸化物、チタン酸化物およびアルミニウム酸化物が特に好ましい。本願では、高kゲート誘電体層170を形成するために使用されるいくつかの材料例を示すが、この層は、ゲート電流リークを抑制することに寄与する他の材料で構成されても良い。層170は、10よりも大きな誘電率を有し、本発明のある実施例では、15から25である。
高kゲート誘電体層170は、従来の成膜方法、例えば従来の化学気相成膜法(CVD)、低圧CVD法、または物理気相成膜法(PVD)で、基板100上に形成されても良い。従来の原子層CVD処理法を使用することが好ましい。そのような処理法では、金属酸化物前駆体(例えば、金属塩化物)および蒸気が、CVD反応器に選択された流速で供給され、その後、選択された温度および圧力で処理されて、基板100と高kゲート誘電体層170の間に、原子的に平滑な界面が形成される。CVD反応器は、層が所望の厚さになるまで、十分な時間、作動させる必要がある。最も一般的な操作では、高kゲート誘電体層170は、約60Å未満の厚さであり、例えばある実施例では、約5Åおよび約40Åの厚さである。
誘電体層170の上には、犠牲金属層169が形成される。犠牲金属層169は、被覆材料と反応せず、高温(450℃以上)に耐えることのできるいかなる金属であっても良い。例えば、犠牲金属層169は、窒化チタンで構成されても良い。ある実施例では、層169は、スパッタ法で形成される。別の実施例では、層169は、原子層成膜法で形成される。
基板100に高kゲート誘電体層170および犠牲金属層169が形成された後、高kゲート誘電体層170上には、図1Bに示すように、犠牲金属層171が形成される。この実施例では、次に、犠牲層171の上にハードマスク層172が形成され、図1Bに示す構造が形成される。犠牲層171は、ポリシリコン、窒化珪素、シリコンゲルマニウム、またはゲルマニウムを含んでも良く、従来の成膜処理プロセスによって、犠牲金属層169上に形成される。犠牲層171は、例えば、約100から約2000Åの間の厚さであり、ある実施例では、約500から約1600Åの間の厚さである。別の実施例では、犠牲層171は、後のゲート置換の際に置換されるダミーゲート誘電体上に形成されても良い。
ハードマスク層172は、厚さが約100から1000Åの間の窒化珪素を含んでも良く、ある実施例では、例えば、約200から約350Åの間の厚さである。ハードマスク層172は、犠牲層171上に形成される。
次に、犠牲層171およびハードマスク層172がパターン化され、図1Cに示すような、パターン化されたハードマスク層130、131が形成され、パターン化された犠牲層104、106、169が形成される。従来の湿式または乾式エッチング処理プロセスを用いて、ハードマスク層172、犠牲金属層169および犠牲層171の非保護部分を除去しても良い。この実施例では、これらの層のエッチング後に、高kゲート誘電体層170の露出部分174が除去される。
高kゲート誘電体層170の露出部分174は、湿式または乾式エッチング技術を用いて除去されても良いが、そのような処理プロセスを用いて、隣接構造部分に悪影響を及ぼさずにこの層をエッチングすることは難しい。乾式エッチング処理プロセスを用いて、高kゲート誘電体層170を、下地の基板に対して選択的にエッチングすることは難しく、湿式エッチング技術では、高kゲート誘電体層170が等方的にエッチングされ、好ましくない形態で、被覆犠牲層104、106が除去される。
その層の露出部分174をエッチングして、高kゲート誘電体層170の横方向の除去を抑制するため、高kゲート誘電体層170の露出部分174を、それがその層の被覆部分175に対して選択的に容易に除去されるように改質しても良い。露出部分174は、犠牲層171がエッチングされた後、高kゲート誘電体層170のこの部分に、不純物を添加して改質しても良い。プラズマ化学気相成膜法(PECVD)処理法を用いて、高kゲート誘電体層170の露出部分174に不純物を添加しても良い。そのようなPECVD処理法では、プラズマ照射の前に、反応器に、ハロゲンまたはハロゲン化物のガス(またはそのようなガスの組み合わせ)が供給される。反応器は、適当な条件下(例えば、温度、圧力、高周波数、および出力)で、露出部分174を改質して、これを他の材料に対して選択的に除去することができるようにするのに十分な時間作動される。ある実施例では、低出力RECVD処理法、例えば、約200W未満の出力が使用される。
ある実施例では、反応器に適当な流速で、臭化水素(HBr)および塩素(Cl2)ガスが供給され、これらのガスから生じたプラズマによって、所望の方法で露出部分174が改質される。約50から約100Wの間のウェハバイアス(例えば、約100W)が十分な時間印加され、露出部分174の所望の変質が完全に行われる。プラズマの暴露は、最大約1分間継続されるが、そのような改質のためには、おそらく約5秒程度が好ましい。
露出部分174は、改質された後、除去される。添加不純物の存在によって、露出部分は、被覆部分175に対して選択的にエッチングされ、図1Dに示す構造が得られる。ある実施例では、露出部分174は、比較的強い酸、例えば、ハロゲン系の酸(臭化水素または塩酸)、またはリン酸に暴露することにより除去される。ハロゲン系の酸を使用する場合、酸は、HBrまたはHClの体積比で約0.5%から約10%の間の濃度であることが好ましく、体積比で約5%であることがより好ましい。そのような酸を用いるエッチング処理プロセスは、室温またはその近傍で行われ、約5から約30分間実施されるが、必要であれば、より長期間の暴露を行っても良い。リン酸を使用する場合、酸の濃度は、H3PO4の体積比で約75%から約95%の間であっても良い。そのような酸を用いるエッチング処理プロセスは、例えば、例えば、約140℃から約180℃の間で行われ、ある実施例では、約160℃で行われる。そのような酸を使用する場合、暴露ステップは、約30秒から約5分間実施され、20Åの厚さの膜の場合、約1分実施される。
図1Dには、相補型金属酸化物半導体(CMOS)を製作する際に形成される中間構造を示す。この構造は、図1Eに示す基板100の第1の部分101および第2の部分102を有する。分離領域103は、第1の部分101を第2の部分102から分離する。分離領域103は、二酸化珪素、またはトランジスタの活性領域を分離する他の材料を含む。第1の犠牲層104は、第1の高kゲート誘電体層105上に形成され、第2の犠牲層106は、第2の高kゲート誘電体層107上に形成される。ハードマスク130、131は、犠牲層104、106上に形成される。
図1Dの構造が形成された後、犠牲層104、106の対向する側面にスペーサが形成される。これらのスペーサは、窒化珪素を含み、これらのスペーサは、以下の方法で形成される。最初に、実質的に均一な厚さの窒化珪素層が、構造の全体にわたって、例えば約1000Å未満の厚さで成膜され、図1Eに示す構造が形成される。従来の成膜処理プロセスを使用してこの構造を形成しても良い。
ある実施例では、基板100および層104、106上にバッファ酸化層を形成する前に、窒化珪素層134が、基板100上の犠牲層104、106の対向する側面に直接成膜される。ただし、別の実施例では、そのようなバッファ酸化層は、層134を形成する前に形成されても良い。同様に、図1Eには示されていないが、層134がエッチングされる前に、層134の上に、第2の酸化層を形成しても良い。そのような酸化物を使用した場合、その後の窒化珪素のエッチングステップによって、L型のスペーサが形成される。
窒化珪素層134は、窒化珪素を異方的にエッチングする、従来の処理プロセスを用いてエッチングされても良く、これにより図1Fに示す構造が形成される。エッチングステップの結果、犠牲層104は、一組の側壁スペーサ108、109によって囲まれ、犠牲層106は、一組の側壁スペーサ110、111によって囲まれる。
次に図1Fの構造は、窒化物エッチング停止層180で被覆され、図1Gに示す構造が形成される。層180は、層134と同様の方法で形成されても良い。
通常の場合、複数のマスキングステップおよびイオン注入ステップが実施されることが好ましく(図1H)、犠牲層104、106上にスペーサ108、109、110、111を形成する前に、層104、106の近傍に、微量注入領域135a乃至138aが形成される(最終的に、デバイスのソースおよびドレインの領域に対する先端領域として機能する)。また、通常の場合、スペーサ108、109、110、111の形成後に、基板100の部分101および102にイオンを注入した後、適当な熱処理ステップを適用することにより、ソースおよびドレインの領域135〜138が形成されても良い。
基板100の部分101内に、n型のソースおよびドレインの領域を形成するために使用されるイオン注入および熱処理の工程によって、同時に、犠牲層104をn型にドープしても良い。同様に、基板100の部分102内にp型のソースおよびドレインの領域を形成するために使用される、イオン注入および熱処理工程によって、犠牲層106をp型にドープしても良い。犠牲層106がボロンでドーピングされる場合、その層は、n型犠牲層104を除去する後続の湿式エッチング処理プロセスによって、p型の犠牲層106があまり除去されない程度の濃度で、その元素を含む必要がある。
熱処理によって、予めソースおよびドレインの領域と先端領域、ならびに犠牲層104、106に導入されたドーパントが活性化される。好適実施例では、温度が約1000℃を超え、さらに必要に応じて、1080℃を超える急速な熱処理が適用される。ドーパントの活性化に加えて、そのような熱処理によって、高kゲート誘電体層105、107の分子構造が改質され、改良された特性を示すゲート誘電体層が形成される。
犠牲金属層169の設置により、これらの高温ステップの結果、高誘電率誘電体層170と犠牲層171との間で有意な反応が生じずに、より良好な特性の誘電体層170が得られる。
スペーサ108、109、110、111および層180の形成後、デバイス全体に誘電体層112が設置され、図1Hに示す構造が形成される。誘電体層112は、二酸化珪素、または低k材料を含んでも良い。誘電体層112には、リン、ボロン、または他の元素がドープされても良く、高密度プラズマ成膜処理プロセスを用いて形成されても良い。処理のこの段階により、シリサイド領域139、140、141、142によって覆われたソースおよびドレインの領域135、136、137、138が既に形成される。これらのソースおよびドレインの領域は、基板へのイオン注入によって形成されても良く、その後これらの領域が活性化される。あるいは、当業者には明らかなように、エピタキシャル成長処理を用いて、ソースおよびドレインの領域を形成しても良い。
誘電体層112は、ハードマスク130、131から除去され、さらに、パターン化された犠牲層104、106から除去され、図1Iに示す構造が形成される。従来の化学機械的研磨処理操作(CMP)を適用して、誘電体層112とハードマスク130、131の部分を除去しても良い。ハードマスク130、131は、パターン化犠牲層104、106が露出するように除去されても良い。誘電体層112が研磨された際、ハードマスク130、131は、これらが処理プロセスのその段階における目的を果たすように、層104、106の表面から研磨されても良い。
図1Iに示す構造が形成された後、犠牲層104が除去されて、側壁スペーサ108、109の間に溝113が形成され、図1Jに示す構造が形成される。
ある実施例では、犠牲層106上の層104を選択的にエッチングする湿式エッチング処理プロセスが適用され、層106の部分はあまり除去されずに、層104および169が除去される。
犠牲層104が、n型にドープされており、犠牲層106がp型(例えば、ボロン)にドープされている場合、そのような湿式エッチング処理プロセスは、水酸化物源を含む水溶液に、十分な温度で十分な時間、犠牲層104を暴露するステップを有しても良く、実質的に全ての層104が除去される。そのような水酸化物源は、脱イオン水中に、体積比で約2から約30%の間の水酸化アンモニウム、または例えば、テトラメチル水酸化アンモニウム(TMAH)のようなテトラアルキル水酸化アンモニウムを含んでも良い。
いかなる残りの犠牲層104も、これを溶液に暴露することにより、選択的に除去されても良く、溶液は、脱イオン水中に体積比で約2から約30%の水酸化アンモニウムを含み、約15℃から約90℃の間の温度(例えば、約40℃以下)に維持される。そのような暴露ステップは、少なくとも1分継続させることが好ましく、この際に、約10kHzから約2000kHzの間の、約1から約10W/cm2で消失する超音波エネルギーを印加することが好ましい。
ある実施例では、厚さが約1350Åの犠牲層104は、約1000kHzの、約5W/cm2で消失する超音波エネルギーを印加した状態で、約25℃で約30分間、脱イオン水中に体積比で約15%の水酸化アンモニウムを含む溶液に暴露させることにより選択的に除去される。そのようなエッチング処理プロセスは、p型犠牲層106の有意な量を除去させずに、実質的に全てのn型犠牲層104を除去する必要がある。
別の方法として、犠牲層104は、超音波エネルギーを印加した状態で、約60℃から約90℃の間の温度に維持され、脱イオン水中に体積比で約20から約30%のTMAHを含む溶液に、これを少なくとも1分間、暴露させることにより、選択的に除去しても良い。約1000kHzで約5W/cm2で消失する超音波エネルギーを印加した状態で、脱イオン水中に体積比で約25%のTMAHを含む溶液に、約80℃で約2分間暴露させることにより、層106はあまり除去されずに、約1350Åの厚さの犠牲層104が、実質的に全て除去される。第1の高kゲート誘電体層105は、十分な厚さを有し、犠牲層104を除去するために設置されたエッチャントが、第1の高kゲート誘電体層105の底部に配置されたチャンネル領域に到達することが防止される。
また、犠牲金属層169は、選択エッチングによって除去されても良い。いくつかの実施例では、層169は、除去されなくても良い。いくつかの実施例では、誘電体層105は、置換金属ゲートが形成される前に除去されても良い。そのような場合、金属酸化物ゲート誘電体は、置換ゲートが形成される前に形成される。
図示された実施例では、n型金属層115は、溝113を充填するように、層105上に直接形成され、図1Kに示す構造が形成される。n型金属層115は、金属NMOSゲート電極を得るための、いかなるn型導電性材料を有しても良い。n型金属層115は、半導体デバイス用の金属NMOSゲート電極に適した、熱的に安定な特性を有することが好ましい。
n型金属層115を形成するために使用される材料には、以下のものが含まれる:ハフニウム、ジルコニウム、チタン、タンタル、アルミニウムならびにそれらの合金、例えば、これらの元素を含む金属炭化物、すなわち、ハフニウム炭化物、ジルコニウム炭化物、チタニウム炭化物、タンタル炭化物およびアルミニウム炭化物である。n型金属層115は、従来のPVDまたはCVD処理法、例えば従来のスパッタリングもしくは原子層CVD処理法を用いて、第1の高kゲート誘電体層の上に形成されても良い。図1Lに示すように、n型金属層115は、溝113を充填している部分を残して除去される。層115は、湿式もしくは乾式エッチング処理プロセス、または適当なCMP操作法を介して、デバイスの他の部分から除去されても良い。誘電体112は、層115がその表面から除去される際に、エッチング停止層または研磨停止層として機能しても良い。
n型金属層115は、金属NMOSゲート電極として機能しても良く、仕事関数が約3.9eVから約4.2eVの間にあり、約100Åから約2000Åの厚さであり、ある実施例では、特に、約500Åから約1600Åの間の厚さである。図1Jおよび1Kには、n型金属層115によって全ての溝113が充填された構造を示しているが、別の実施例では、n型金属層115が溝113の一部のみを充填し、溝の残りの部分は、容易に研磨される材料、例えば、タングステン、アルミニウム、チタンまたは窒化チタンで充填されても良い。仕事関数金属の代わりに、高伝導性の充填金属を使用した場合、ゲートスタックの全体の伝導性が改善される。そのような代替実施例では、n型金属層115が仕事関数金属として機能し、これは、約50から約1000Åの間の厚さであり、例えば、少なくとも約100Åの厚さである。
溝113が、仕事関数金属と溝充填金属の両方を含む実施例では、得られる金属NMOSゲート電極は、仕事関数金属と溝充填金属の両方の組み合わせを有すると見なし得る。仕事関数金属上に、溝充填金属を成膜する場合、成膜の際に、溝充填金属は、デバイス全体を被覆し、図1Kに示すような構造が形成される。次に、溝充填金属が研磨され、それは、溝だけを充填するように後退し、図1Lに示すような構造が形成される。
図示された実施例では、溝113内にn型金属層115が形成された後、犠牲層106が除去され、側壁スペーサ110、111の間に配置された溝150が形成され、図1Mに示すような構造が形成される。好適実施例では、層106は、超音波エネルギーが印加された状態で、脱イオン水中に体積比で約20から約30%の間のTMAHを含む溶液に、十分な温度(例えば、約60℃から約90℃の間)で十分な時間暴露され、n型金属層115の部分はあまり除去されずに、全ての層106が除去される。
あるいは、乾式エッチング処理プロセスを適用して、層106を選択的に除去しても良い。犠牲層106がp型に(例えばボロンで)ドープされている場合、そのような乾式エッチング処理プロセスは、六フッ化硫黄(SF6)、臭化水素(HBr)、ヨウ化水素(HI)、塩素、アルゴンおよび/またはヘリウムから生じるプラズマに、犠牲層106を暴露するステップを有しても良い。そのような選択的に乾式エッチング処理プロセスは、平行板反応器内または電子サイクロトロン共鳴エッチング機内で実施される。
犠牲層106を除去した後、第2の高kゲート誘電体層107は、例えば、この層を前述の過酸化水素系の溶液に暴露することにより、清浄化されることが望ましい。必要であれば、前述のように、溝150をp型金属で充填する前に、第2の高kゲート誘電体層107上に、被覆層(これは成膜後に酸化される)を形成しても良い。ただし、この実施例では、p型金属層116は、層107上に直接形成されても良く、これにより溝150が充填され、図1Nに示す構造が形成される。p型金属層116は、金属PMOSゲート電極を得るための、いかなるp型導電性材料を有しても良い。p型金属層116は、半導体デバイス用の金属PMOSゲート電極を形成するのに適した、熱的に安定な特徴を有することが好ましい。
p型金属層116の形成に使用される材料には、以下のものが含まれる:ルテニウム、パラジウム、白金、コバルト、ニッケルおよび伝導性金属酸化物、例えば、ルテニウム酸化物である。p型金属層116は、従来のPVDまたはCVD処理法、例えば従来のスパッタリングもしくは原子層CVD処理法を用いて、第2の高kゲート誘電体層107上に形成されても良い。図1Oに示すように、p型金属層116は、溝150を充填する部分を除いて除去される。層116は、湿式もしくは乾式エッチング処理プロセス、または適当なCMP操作法を介して、デバイスの他の部分から除去されても良く、誘電体112は、エッチング停止層または研磨停止層として機能する。
p型金属層116は、金属PMOSゲート電極として機能し、仕事関数は、約4.9eVから約5.2eVの間であり、約100Åから約2000Åの間の厚さであり、より好ましくは、約500Åから約1600Åの間の厚さである。図1Nおよび1Oには、p型金属層116によって、溝150の全てが充填された構造が示されているが、別の実施例では、p型金属層116は、溝150の一部だけを充填しても良い。金属NMOSゲート電極を用いる場合、溝の残りの部分は、容易に研磨される材料、例えば、タングステン、アルミニウム、チタンまたは窒化チタンで充填されても良い。そのような代替実施例では、p型金属層116は、仕事関数金属として機能し、約50から約1000Åの間の厚さである。金属NMOSゲート電極のように、溝150が仕事関数金属および溝充填金属を含む実施例では、得られる金属PMOSゲート電極は、仕事関数金属と溝充填金属の両方の組み合わせを有すると見なし得る。
次に、誘電体層112が除去されても良く、これにより図1Pに示す構造が形成される。次に、図1Qに示すように、新たな窒化物エッチング停止層181が成膜される。層181は、ある実施例では、層180と同一であっても良い。次に、図1Rに示すように、誘電体層214が設置され、中間層誘電体が形成される。層214は、層112と同じ材料で、同様の方法で構成されても良い。
窒化物エッチング停止層180の一部は、層104および106を除去する過程で除去されるため、そのような層によってもたらされる歪み抑制効果が不十分となる。従って、再追加層181および層214によって、歪み抑制層およびエッチング停止層の利点を復元しても良い。いくつかの実施例では、いかなる誘電体214を利用しても良い。例えば、誘電体214は、多孔質または非多孔質な炭素ドープされた酸化物のような、誘電率が約5未満で、例えば約3.2の低k誘電体層であっても良い。
限定された数の実施例を参照して、本発明を説明したが、これらの実施例から、多くの変更および修正が可能であることは、当業者には明らかである。特許請求の範囲は、本発明の思想および範囲に属する、そのような全ての変更および修正を網羅することを意図するものである。
本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。 本発明の実施例を実施した際に形成される構造の断面を示した図である。

Claims (4)

  1. 高kゲート誘電体層を形成するステップと、
    前記高kゲート誘電体層上に、犠牲ゲート構造を形成するステップであって、前記犠牲ゲート構造は、前記高kゲート誘電体層と接する金属犠牲層を有し、該金属犠牲層は、上部の材料と反応せず、450℃以上の温度に耐えることができる金属で構成される、ステップと、
    前記犠牲ゲート構造をマスクとして使用して、ソースおよびドレインを形成し、前記ソースおよびドレイン、ならびに前記高k誘電体層を同時に熱処理するステップと、
    前記金属犠牲層を有する前記犠牲ゲート構造を、金属ゲート電極で置換するステップと、
    前記金属ゲート電極を、窒化物層で被覆するステップと、
    前記窒化物層を中間誘電体で被覆するステップであって、前記中間誘電体は、前記金属ゲート電極同士の間の領域を充填するステップと、
    を有する方法。
  2. 前記窒化物層を、5未満の誘電率を有する中間層誘電体で被覆するステップを有することを特徴とする請求項1に記載の方法。
  3. 一組の犠牲ゲート構造を形成するステップと、前記犠牲ゲート構造を、NMOSおよびPMOSトランジスタを形成するように適合された金属ゲート電極で置換するステップとを有することを特徴とする請求項1に記載の方法。
  4. 犠牲ゲート構造を形成するステップは、前記金属犠牲層の上に、側壁スペーサを備えるポリシリコンゲート構造を形成するステップを有することを特徴とする請求項1に記載の方法。
JP2007523624A 2004-07-28 2005-07-14 置換金属ゲート形成のための半導体構造の平坦化 Expired - Fee Related JP5154222B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/900,582 2004-07-28
US10/900,582 US7138323B2 (en) 2004-07-28 2004-07-28 Planarizing a semiconductor structure to form replacement metal gates
PCT/US2005/025339 WO2006020158A2 (en) 2004-07-28 2005-07-14 Planarizing a semiconductor structure to form replacement metal gates

Publications (2)

Publication Number Publication Date
JP2008507856A JP2008507856A (ja) 2008-03-13
JP5154222B2 true JP5154222B2 (ja) 2013-02-27

Family

ID=35519834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007523624A Expired - Fee Related JP5154222B2 (ja) 2004-07-28 2005-07-14 置換金属ゲート形成のための半導体構造の平坦化

Country Status (8)

Country Link
US (2) US7138323B2 (ja)
JP (1) JP5154222B2 (ja)
KR (1) KR100865885B1 (ja)
CN (1) CN101027761B (ja)
DE (1) DE112005001828B4 (ja)
GB (1) GB2442996B (ja)
TW (1) TWI277137B (ja)
WO (1) WO2006020158A2 (ja)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7256349B2 (en) * 2004-08-11 2007-08-14 3M Innovative Properties Company Telecommunications cable enclosure
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
DE102004052617B4 (de) * 2004-10-29 2010-08-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US7572704B2 (en) * 2006-12-27 2009-08-11 Hynix Semiconductor Inc. Method for forming metal pattern and method for forming gate electrode in semiconductor device using the same
JP2008198935A (ja) * 2007-02-15 2008-08-28 Sony Corp 絶縁ゲート電界効果トランジスタの製造方法。
US7781288B2 (en) * 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102008046761B4 (de) * 2007-09-14 2021-08-05 Infineon Technologies Ag Halbleiterbauelement mit leitfähiger Verbindungsanordnung und Verfahren zur Bildung eines Halbleiterbauelements
US7986023B2 (en) * 2007-09-17 2011-07-26 Infineon Technologies Ag Semiconductor device with inductor
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US7790559B2 (en) * 2008-02-27 2010-09-07 International Business Machines Corporation Semiconductor transistors having high-K gate dielectric layers and metal gate electrodes
US7955964B2 (en) 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8524588B2 (en) 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8237227B2 (en) * 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
CN101677064B (zh) * 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
JP2010129978A (ja) * 2008-12-01 2010-06-10 Rohm Co Ltd 半導体装置の製造方法
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
DE102009006802B3 (de) 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
KR101634748B1 (ko) * 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법
DE102010003451B4 (de) 2010-03-30 2013-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
CN102468238A (zh) * 2010-11-04 2012-05-23 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
CN102800576B (zh) * 2011-05-26 2015-09-02 中芯国际集成电路制造(上海)有限公司 图形化膜层的方法、形成栅极、mos晶体管的方法
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8921944B2 (en) 2011-07-19 2014-12-30 United Microelectronics Corp. Semiconductor device
US9070784B2 (en) 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US20130181265A1 (en) 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
CN103681498B (zh) * 2012-09-12 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection
US9041076B2 (en) 2013-02-03 2015-05-26 International Business Machines Corporation Partial sacrificial dummy gate with CMOS device with high-k metal gate
US8889540B2 (en) 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
CN104037073B (zh) * 2013-03-04 2016-12-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
WO2014197789A2 (en) * 2013-06-07 2014-12-11 General Electric Company Hollow metal objects and methods for making same
CN104241109A (zh) * 2013-06-17 2014-12-24 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
CN104465518B (zh) * 2013-09-24 2017-09-22 中芯国际集成电路制造(上海)有限公司 栅极制作方法
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9231067B2 (en) 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9698019B2 (en) 2014-03-14 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. N-work function metal with crystal structure
TWI555065B (zh) * 2014-12-15 2016-10-21 力晶科技股份有限公司 非揮發性記憶體的製造方法
CN106531684B (zh) * 2015-09-11 2019-07-16 中国科学院微电子研究所 一种形成自对准接触部的方法
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9331148B1 (en) 2015-12-08 2016-05-03 International Business Machines Corporation FinFET device with channel strain
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10262890B1 (en) 2018-03-09 2019-04-16 International Business Machines Corporation Method of forming silicon hardmask

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211026B1 (en) * 1998-12-01 2001-04-03 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
KR20010004598A (ko) * 1999-06-29 2001-01-15 김영환 반도체 소자의 게이트 형성방법
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
JP2001044421A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp Misfetの製造方法
US6800512B1 (en) * 1999-09-16 2004-10-05 Matsushita Electric Industrial Co., Ltd. Method of forming insulating film and method of fabricating semiconductor device
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
JP3669919B2 (ja) * 2000-12-04 2005-07-13 シャープ株式会社 半導体装置の製造方法
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP2003133549A (ja) * 2001-10-29 2003-05-09 Nec Corp Mosfet及びその製造方法
JP2003133547A (ja) * 2001-10-29 2003-05-09 Nec Kansai Ltd 高耐圧半導体装置の製造方法
US6713335B2 (en) * 2002-08-22 2004-03-30 Chartered Semiconductor Manufacturing Ltd. Method of self-aligning a damascene gate structure to isolation regions
JP2004095611A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置およびその製造方法
US7126198B2 (en) * 2002-09-03 2006-10-24 Agere Systems Inc. Protruding spacers for self-aligned contacts
JP2004152995A (ja) * 2002-10-30 2004-05-27 Toshiba Corp 半導体装置の製造方法
JP4197607B2 (ja) * 2002-11-06 2008-12-17 株式会社東芝 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6927146B2 (en) * 2003-06-17 2005-08-09 Intel Corporation Chemical thinning of epitaxial silicon layer over buried oxide
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7220635B2 (en) * 2003-12-19 2007-05-22 Intel Corporation Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Also Published As

Publication number Publication date
TW200608471A (en) 2006-03-01
GB0700528D0 (en) 2007-02-21
CN101027761A (zh) 2007-08-29
JP2008507856A (ja) 2008-03-13
WO2006020158A2 (en) 2006-02-23
US20060022277A1 (en) 2006-02-02
DE112005001828B4 (de) 2010-04-08
KR20070032807A (ko) 2007-03-22
CN101027761B (zh) 2013-02-06
KR100865885B1 (ko) 2008-10-29
DE112005001828T5 (de) 2007-05-16
TWI277137B (en) 2007-03-21
WO2006020158A3 (en) 2006-10-12
GB2442996B (en) 2009-04-01
US7138323B2 (en) 2006-11-21
GB2442996A (en) 2008-04-23
US20070037372A1 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
JP5154222B2 (ja) 置換金属ゲート形成のための半導体構造の平坦化
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7126199B2 (en) Multilayer metal gate electrode
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US8129795B2 (en) Inducing strain in the channels of metal gate transistors
US7160767B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US20050272270A1 (en) Method for making a semiconductor device with a high-k gate dielectric and metal layers that meet at a P/N junction
JP2008523591A (ja) 高誘電率ゲート誘電体および金属ゲート電極をもつ半導体デバイスの作成方法
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
JP5130652B2 (ja) 金属膜のエッチング方法及び半導体装置の製造方法
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20060148150A1 (en) Tailoring channel dopant profiles

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110411

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120628

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5154222

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees