KR100865885B1 - 반도체 구조물과 그 형성 방법 - Google Patents

반도체 구조물과 그 형성 방법 Download PDF

Info

Publication number
KR100865885B1
KR100865885B1 KR1020077003160A KR20077003160A KR100865885B1 KR 100865885 B1 KR100865885 B1 KR 100865885B1 KR 1020077003160 A KR1020077003160 A KR 1020077003160A KR 20077003160 A KR20077003160 A KR 20077003160A KR 100865885 B1 KR100865885 B1 KR 100865885B1
Authority
KR
South Korea
Prior art keywords
layer
metal
sacrificial
gate electrode
metal gate
Prior art date
Application number
KR1020077003160A
Other languages
English (en)
Other versions
KR20070032807A (ko
Inventor
잭 카발리에로스
저스틴 브라스크
마크 도크지
우데이 샤
크리스 반스
매튜 메츠
수만 다타
로버트 차우
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20070032807A publication Critical patent/KR20070032807A/ko
Application granted granted Critical
Publication of KR100865885B1 publication Critical patent/KR100865885B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

질화물 및 충진층을 포함하는 희생 게이트 구조는 금속 게이트 전극으로 대체될 수 있다. 금속 게이트 전극은 다시 충진층에 피복되는 질화물층으로 피복될 수 있다. 질화물 및 충진층들의 대체는 변형을 재도입시킬 수 있으며, 에칭 중지를 제공할 수 있다.

Description

반도체 구조물과 그 형성 방법{PLANARIZING A SEMICONDUCTOR STRUCTURE TO FORM REPLACEMENT METAL GATES}
본 발명은 반도체 소자를 제조하기 위한 방법에 관한 것으로, 특히 금속 게이트 전극들을 갖는 반도체 소자를 제조하기 위한 방법에 관한 것이다.
실리콘 이산화물로 만들어진 매우 얇은 게이트 유전체를 갖는 MOS 전계 효과 트랜지스터들에는 허용할 수 없는 게이트 누설 전류가 발생할 수 있다. 실리콘 이산화물 대신 어떤 높은 유전율(K)의 유전체 재료로부터 게이트 유전체를 형성함으로써 게이트 누설을 감소시킬 수 있다. 여기에서 사용되는 것과 같이, 높은 k의 유전체는 10 보다 높은 유전율을 갖는 것을 의미한다. 그러나, 높은 k의 유전체 막(film)이 초기에 형성되면, 약간 완전하지 못한 분자 구조를 가질 수 있다. 이러한 막을 복구하기 위하여 상당히 높은 온도에서 이 막을 어닐링(annealing)할 필요가 있을 수 있다.
이러한 높은 k의 유전체 층은 폴리실리콘(polysilicon)과 양립되지 않을 수 있기 때문에, 높은 k의 게이트 유전체들을 포함하는 소자에서 금속 게이트 전극들을 사용하는 것이 바람직할 수 있다. 금속 게이트 전극들을 포함하는 CMOS 소자를 제조할 때, NMOS와 PMOS 게이트 전극들을 다른 재료로부터 제조할 필요가 있을 수 있다. 대체 게이트 공정은 게이트 전극들을 다른 금속들로부터 형성하는데 사용될 수 있다. 이 공정에서 한쌍의 스페이서(spacer)에 의하여 묶인 제 1 폴리실리콘층은 스페이서들 사이에 트렌치(trench)를 생성하기 위하여 제 2 폴리실리콘층에 대해 선택적으로 제거된다. 이 트렌치는 제 1 금속으로 충진된다. 이후 제 2 폴리실리콘층이 제거되고, 제 1 금속과 다른 제 2 금속으로 대체된다.
따라서, 대체 금속 게이트 전극들을 형성하기 위한 대안적인 방법의 필요성이 존재한다.
도 1a-1r은 본 발명의 일 실시예를 수행할 때 형성될 수 있는 구조들의 단면들을 나타낸다.
도면들에서 보여지는 특징물들은 축적대로 그려진 것으로 의도한 것은 아니다.
도 1a 내지 1r은 본 발명의 방법에 대한 일 실시예를 수행할 때 형성될 수 있는 구조들을 나타낸다. 초기에, 높은 k의 게이트 유전체 층(170)과 희생 금속층(169)이 기판(100) 상에 형성되어, 도 1a의 구조를 이룬다. 대안적으로, 비록 도시되지 않았지만, 더미 게이트 유전체(예를 들어, 20 내지 30Å(Angstrom)의 SiO2 층)가 이 부분의 흐름을 통해서 운반되고, 대체 게이트 공정 시에 높은 k의 유전체에 의하여 대체될 수 있다. 기판(100)은 벌크 실리콘(bulk silicon) 또는 SOI(Silicon On Insulator: 실리콘 온 절연체) 기판을 포함할 수 있다. 대안적으로, 기판(100)은 게르마늄, 인듐 안티몬화물(indium antimonide), 납 텔루르 화합물(lead telluride), 인듐 비화물(indium arsenide), 인듐 인화물(indium phosphide), 갈륨 비화물(gallium arsenide), 또는 갈륨 안티몬화물(gallium antimonide)과 같은, 실리콘과 결합되거나 결합되지 않을 수 있는 다른 재료들을 포함할 수 있다. 비록 기판(100)이 형성될 수 있는 재료들의 몇몇 보기들이 여기에 설명되지만, 반도체 소자가 만들어질 수 있는 원료로서 역할을 할 수 있는 어떠한 재료도 본 발명의 사상과 영역 내에 속한다.
높은 k의 게이트 유전체 층(170)을 제조하는데 사용될 수 있는 몇몇 재료들에는 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물, 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 및 납 아연 니오브산염(lead zinc niobate)을 포함한다. 특히 바람직한 것은 하프늄 산화물, 지르코늄 산화물, 티타늄 산화물, 및 알루미늄 산화물이다. 비록 높은 k의 게이트 유전체 층(170)을 형성하는데 사용될 수 있는 재료들의 몇몇 보기들이 여기에 설명되지만, 게이트 누설을 감소시키는 역할을 하는 다른 재료들로 상기 층을 만들 수 있다. 상기 층(170)은 10 보다 높은 유전율을 갖는데, 본 발명의 일 실시예에서 15 내지 25의 유전율을 갖는다.
높은 k의 게이트 유전체 층(170)은 종래의 증착방법, 예를 들어 종래의 CVD법(Chemical Vapor Deposition: 화학적 기상 증착법), 저압력 CVD, 또는 PVD(Physical Vapor Deposition: 물리적 기상 증착법) 공정을 사용하여 기판(100) 상에 형성될 수 있다. 바람직하게는, 종래의 원자층 CVD 공정이 사용된다. 이러한 공정에서, 금속 산화물 전구체(precursor)(예로서, 금속 염화물) 및 스팀(steam)은 선택된 흐름 속도에서 CVD 반응기로 공급될 수 있으며, 상기 반응기는 이후 기판(100)과 높은 k의 게이트 유전체 층(170) 사이에 원자적으로 평탄한 상호접촉을 생성하도록 선택된 온도와 압력으로 동작된다. 이 CVD 반응기는 소망의 두께를 갖는 층을 형성하도록 충분히 오래 동작되어야 한다. 대부분의 응용에서, 높은 k의 게이트 유전체 층(170)은 예로서, 약 60Å의 두께 보다 얇은데, 일 실시예에서 그것은 약 5 내지 40Å 사이의 두께이다.
희생 금속층(169)은 유전체 층(170) 위에 형성될 수 있다. 희생 금속층(169)은 위에 놓인 재료들과 반응하지 않고 높은 온도(450도 보다 큰)를 견딜 수 있는 어떤 금속이 될 수 있다. 하나의 예로서, 희생 금속층(169)은 티타늄 질화물로 형성될 수 있다. 일 실시예에서, 상기 층(169)은 스퍼터링(sputtering)에 의하여 형성될 수 있다. 다른 실시예에서, 상기 층(169)은 원자층 증착에 의하여 형성될 수 있다.
높은 k의 게이트 유전체 층(170)과 희생 금속층(169)이 기판(100) 상에 형성된 후, 희생층(171)은 도 1b에 나타낸 바와 같이 높은 k의 게이트 유전체 층(170) 상에 형성된다. 이 실시예에서, 하드 마스크층(hard mask layer)(172)은 이후 희생층(171) 상에 형성되는데, 이는 도 1b의 구조를 만든다. 희생층(171)은 폴리실리콘, 실리콘 질화물, 실리콘 게르마늄, 또는 게르마늄을 포함할 수 있으며, 종래의 증착 공정을 사용하여 희생 금속층(169) 상에 증착될 수 있다. 희생층(171)은 예로서, 약 100 내지 약 2,000Å의 두께가 될 수 있는데, 일 실시예에서는 약 500 내지 약 1,600Å의 두께 사이이다. 다른 실시예에서, 희생층(171)은 더미 게이트 유전체 상에 형성될 수 있는데, 이는 나중에 게이트 대체 시에 대체된다.
하드 마스크층(172)은 약 100 내지 약 1,000Å의 두께, 예로서 일 실시예에서 약 200 내지 약 350Å의 두께 사이의 실리콘 질화물을 포함할 수 있다. 하드 마스크 층(172)은 희생층(171) 상에 형성될 수 있다.
희생층(171)과 하드 마스크 층(172)은 이후 도 1c에 나타낸 것과 같이, 패터닝된 하드 마스크층(130, 131)과 희생층(104, 106, 169)을 형성하기 위하여 패터닝 된다. 종래의 습식 또는 건식 에칭 공정들은 하드 마스크 층(172)과 희생 금속층(169) 및 희생층(171)의 보호되지 않은 부분들을 제거하기 위하여 사용될 수 있다. 이 실시예에서, 이 층들이 에칭된 후, 높은 k의 게이트 유전체 층(170)의 노출된 부분(174)은 제거된다.
비록 높은 k의 게이트 유전체 층(170) 중 노출된 부분(174)이 건식 또는 습식 에칭 기술들을 사용하여 제거될 수 있지만, 인접 구조물들에 나쁜 영향을 주지 않고 이러한 공정을 사용하여 상기 층을 에칭하는 것은 어려울 수 있다. 건식 에칭 공정을 사용하여 하부 구조인 기판에 대해 높은 k의 게이트 유전체 층(170)을 선택적으로 에칭하는 것은 어려울 수 있으며, 습식 에칭 기술들은 높은 k의 게이트 유전체 층(170)을 등방성(isotropical)으로 에칭할 수 있어서, 바람직하지 않은 방식으로 아래 놓인 희생층들(104, 106)의 밑을 자를 수 있다.
높은 k의 게이트 유전체 층(170)의 노출 부분(174)이 에칭될 때, 이 층(170)의 측부 제거를 감소시키기 위하여, 이 층(170)의 노출 부분(174)은 이 층의 보호된 부분(175)에 대해서 노출부분을 선택적으로 제거하기 용이하도록 수정될 수 있다. 노출 부분(174)은 희생층(171)이 에칭된 후, 높은 k의 게이트 유전체 층(170)의 해당 부분에 불순물들을 첨가함으로써 수정될 수 있다. PECVD(Plasma Enhanced CVD: 플라즈마 보강 CVD) 공정은 높은 k의 게이트 유전체 층(170)의 노출 부분(174)에 불순물들을 첨가하는데 사용될 수 있다. 이러한 PECVD 공정에서 할로겐 또는 할로겐 화합물 가스(또는 이들 가스의 조합)는 플라즈마 충돌(strike) 이전에 반응기로 공급될 수 있다. 이 반응기는 노출 부분(174)이 다른 재료들에 대해 선택 적으로 제거될 수 있음을 보장하도록 수정하기 위하여, 충분한 시간 동안에 적정 조건들(예로서, 온도, 압력, 무선 주파수, 및 전력)에서 동작되어야 한다. 일 실시예에서, 저전력 PECVD 공정, 예로서 약 200와트 보다 낮은 곳에서 발생하는 공정이 사용된다.
일 실시예에서, 수소 브롬화물(HBr)과 염소(Cl2) 가스들은 이 가스들로부터 발생된 플라즈마가 소망의 방식으로 노출 부분(174)을 수정할 것을 보장하도록 적정한 흐름 속도에서 반응기로 공급된다. 약 50과 약 100 와트 사이(예로서, 약 100와트)에서 웨이퍼 바이어스는 노출 부분(174)의 소망의 변형을 완료하도록 충분한 시간 동안에 인가될 수 있다. 약 1분 보다 적게, 대략 5초 만큼 짧게 지속되는 플라즈마 노출은 상기 변환을 일으키는데 적당할 수 있다.
노출 부분(174)이 수정된 후에 이 부분이 제거될 수 있다. 첨가된 불순물의 존재는 도 1d의 구조를 나타내도록 노출 부분이 피복된 부분(175)에 대해 선택적으로 에칭되도록 해준다. 일 실시예에서, 노출 부분(174)은 상당히 강한 산, 예로서 할로겐 화합물 기재의 산(브롬화 수소산 또는 염화 수소산) 또는 인산에 노출시킴으로써 제거된다. 할로겐 화합물 기재의 산이 사용되면, 상기 산은 용적으로 약 5%와 약 10% 사이의 HBr 또는 HCl를 포함하는 것이 바람직하며, 보다 바람직하게는 용적으로 약 5%를 포함하는 것이다. 이러한 산을 사용하는 에칭 공정은 상온 또는 상온 근처에서 실시될 수 있으며, 비록 원한다면 더 긴 노출이 사용될 수 있지만, 약 5분과 약 30분 사이 동안에 지속된다. 인산이 사용되면, 이 산은 체적으로 약 75%와 약 95% 사이의 H3PO4를 포함할 수 있다. 인산을 사용하는 에칭 공정은 예로서, 약 140도와 약 180도(일 실시예에서 약 160도)에서 발생할 수 있다. 상기 산이 사용되면, 노출 단계는 약 30초와 약 5분 사이 동안 및 20Å 두께의 후막(thick film)에 대해 약 1분 동안 지속될 수 있다.
도 1d는 CMOS(CMOS: 상보성 금속 산화막 반도체)를 제조할 때 형성될 수 있는 중간 구조를 나타낸다. 이 구조는 도 1E에 도시된 기판(100)의 제 1 부분(101)과 제 2 부분(102)을 포함한다. 격리 영역(103)은 실리콘 이산화물나 트랜지스터의 활성 영역들을 분리할 수 있는 다른 재료들을 포함할 수 있다. 제 1 희생층(104)은 높은 k의 제 1 게이트 유전체 층(105) 상에 형성되고, 제 2 희생층(106)은 높은 k의 제 2 게이트 유전체 층(107) 상에 형성된다. 하드 마스크(130, 131)는 희생층(104, 106) 상에 형성된다.
도 1d의 구조를 형성한 후에, 스페이서들이 희생층들(104, 106)의 대향 측면들에 형성될 수 있다. 이들 스페이서가 실리콘 질화물을 포함하면, 이들은 다음의 방법으로 형성될 수 있다. 첫째로, 사실상 균일한 두께, 예로서 약 1000Å의 두께 보다 얇은 실리콘 질화물 층이 전체 구조 위에 증착되는데, 이는 도 1e에 도시된 구조를 만든다. 종래의 증착 공정들은 이 구조를 만드는데 사용될 수 있다.
일 실시예에서, 실리콘 질화물 층(134)은 먼저 기판(100)과 층들(104, 106) 상에 버퍼(buffer) 산화물 층들을 형성하지 않고, 기판(100)과 희생층들(104, 106)의 대향 측면들에 직접 증착된다. 그러나 대안적인 실시예에서, 이러한 버퍼 산화 물 층은 층(134)을 형성하기 전에 형성될 수 있다. 유사하게, 도 1e에 도시되지 않았으나, 제 2 산화물이 층(134)을 에칭하기 전에 이 층 위에 형성될 수 있다. 그렇다면, 이러한 산화물은 이후의 실리콘 질화물 에칭 단계에서 L형 스페이서를 생성하게 할 수 있다.
실리콘 질화물 층(134)은 도 1f의 구조를 만들도록 실리콘 질화물의 이방성 에칭을 위하여 종래의 공정을 사용해서 에칭될 수 있다. 이 에칭 단계의 결과로서, 희생층(104)은 한 쌍의 측벽 스페이서들(108, 109)에 의하여 묶여지고, 희생층(106)은 한 쌍의 측벽 스페이서들(110,111)에 의하여 묶여진다.
도 1f의 구조는 이후 도 1g의 구조를 형성하도록 질화물 에칭 중지 층(180)에 피복될 수 있다. 층(180)은 층(134)와 마찬가지의 방식으로 형성될 수 있다.
일반적으로 행해지듯이, 희생층들(104, 106)에 스페이서들(108, 109, 110, 111)을 형성하기 전에, 층들(104, 106) 근처에 약하게 주입된 영역들(135a-138a)[궁극적으로 소자의 소스 및 드레인 영역을 위한 팁(tip)으로 역할을 할 것임]을 생성하기 위하여 다중 마스킹과 이온 주입 단계(도 1h)를 수행하는 것이 바람직할 수 있다. 역시 통상적으로 행해지듯이, 소스와 드레인 영역들(135-138)은 이온들을 기판(100)의 부분들(101, 102)로 주입함으로써 스페이서들(108, 109, 110, 111)을 형성한 후에 형성될 수 있으며, 이후 적절한 어닐링 단계가 가해진다.
기판(100)의 부분(101) 내에서 n형 소스 및 드레인 영역을 형성하는데 사용되는 이온 주입 및 어닐링 시퀀스는 동시에 희생층(104)을 n형으로 도핑(doping)할 수 있다. 유사하게, 기판(100)의 부분(102) 내에서 p형 소스 및 드레인 영역을 형 성하는데 사용되는 이온 주입 및 어닐링 시퀀스는 희생층(106)을 p형으로 도핑(doping)할 수 있다. 희생층(106)을 붕소로 도핑할 때, 상기 층은 n형 희생층(104)을 제거하기 위한 이후의 습식 에칭 공정이 많은 양의 p형 희생층(106)을 제거하지 않을 것을 보장하도록, 충분한 농도에서 상기 요소들을 포함해야 한다.
어닐링은 소스와 드레인 영역들 및 팁 영역들로와 희생층들(104, 106)로 이전에 유입되었던 도펀트(dopant)들을 활성화시킬 것이다. 바람직한 실시예에서, 급속 열적 어닐링(rapid thermal annealing)이 적용되는데, 약 1,000도를 넘는 온도, 최적으로는 1,080도의 온도에서 일어난다. 도펀트들의 활성화에 부가하여, 이러한 어닐링은 향상된 성능을 실현할 수 있는 게이트 유전체 층들을 생성할 수 있도록, 높은 k의 게이트 유전체 층들(105, 107)의 분자 구조를 변형시킬 수 있다.
희생 금속층(169)의 부가 때문에, 보다 나은 성능의 유전체 층(170)이 높은 유전율의 유전체 층(170)과 희생층(171) 사이의 큰 반응 없이 높은 온도의 단계들로부터 이루어질 수 있다.
스페이서들(108, 109, 110, 111)과 층(180)을 형성한 후에, 유전체 층(112)이 소자 위에 증착될 수 있는데, 이로써 도 1h의 구조가 만들어 진다. 유전체 층(112)은 실리콘 이산화물 또는 낮은 k의 재료를 포함할 수 있다. 유전체 층(112)은 인, 붕소, 또는 다른 원소들로 도핑될 수 있으며, 고밀도의 플라즈마 증착 공정을 사용하여 형성될 수 있다. 이 공정 단계에 의하여, 실리콘 화합물 영역들(139, 140, 141, 142)에 의하여 캡핑된 소스와 드레인 영역들(135, 136, 137, 138)은 이미 형성 완료된다. 이 소스와 드레인 영역들은 이온들을 기판에 주입시키고 이후 이들을 활성화시킴으로써 형성될 수 있다. 대안적으로, 당업자들에게 명확할 것이지만, 소스와 드레인 영역들을 형성하기 위하여 에피택셜 성장 공정이 사용될 수 있다.
유전체 층(112)이 하드 마스크들(130, 131)로부터 제거되는데, 이들은 차례로 패터닝된 희생 층들(104, 106)로부터 제거되어, 도 1i의 구조를 형성한다. 종래의 CMP(Chemical Mechanical Polishing: 화학 기계적 연마) 작업은 상기 유전체 층(112)의 부분과 하드 마스크들(130, 131)을 제거하기 위하여 적용될 수 있다. 하드 마스크들(130, 131)은 패터닝된 희생층들(104, 106)을 노출시키도록 제거될 수 있다. 하드 마스크들(130, 131)은 유전체 층(112)이 연마되면, 이 공정 단계에 의하여 그들의 목적을 위한 역할을 할 것이기 때문에 층들(104, 106)의 표면으로부터 연마될 수 있다.
도 1i의 구조를 형성한 후에, 희생층(104)은 측벽 스페이서들(108, 109) 사이에 위치되는 트렌치(113)를 생성하도록 제거되어, 도 1j에 도시된 구조를 형성한다.
일 실시예에서, 희생층(106) 위의 층(104)에 대해 선택적인 습식 에칭 공정은 층(106)의 중요 부분을 제거하지 않고 층들(104, 169)을 제거하는 데 적용된다.
희생층(104)이 n형으로 도핑되고 희생층(106)이 p형(예로서, 붕소로)으로 도핑되면, 이러한 습식 에칭 공정은 사실상 모든 층(104)을 제거하도록 충분한 시간과 충분한 온도에서 수산화물 원료를 포함하는 수용액에 희생층(104)을 노출시키는 단계를 포함할 수 있다. 이 수산화물 원료는 탈 이온화된 물에서 체적으로 약 2%와 약 30% 사이의 암모늄 수산화물 또는 4알킬 암모늄 수산화물[예로서, 4메틸 암모늄 수산화물(TMAH: Tetramethyl Ammonium Hydroxide)]을 포함할 수 있다.
어떤 나머지 희생층(104)은 그 부분을 용액에 노출시킴으로써 선택적으로 제거될 수 있는데, 상기 용액은 약 15도와 약 90도 사이(예로서, 약 40도 이하)의 온도에서 유지되고, 탈 이온화된 물에서 체적으로 약 2%와 약 30% 사이의 암모늄 수산화물을 포함할 수 있다. 바람직하게 최소 일분 동안 지속되는 이 노출 단계 동안에, 음향 에너지를 약 10khz와 약 2,000khz 사이의 주파수에서 인가하는 한편 약 1과 약 10와트/cm2 사이에서 소산되게 하는 것이 바람직할 수 있다.
일 실시예에서, 약 1,350Å의 두께를 지닌 희생층(104)은 탈 이온화된 물에서 체적으로 약 15%의 암모늄 수산화물을 포함하는 용액에 약 25도에서 약 30분 동안 노출시키는 한편, 음향에너지를 약 1,000khz에서(약 5와트/cm2에서 소산함) 인가함으로써 선택적으로 제거될 수 있다. 이러한 에칭 공정은 상당한 양의 p형 희생층(106)을 제거하지 않고 사실상 모든 n형 희생층(104)을 선택적으로 제거해야 한다.
대안으로서, 희생층(104)은 탈 이온화된 물에서 체적으로 약 20%와 약 30% 사이의 TMAH를 포함하고 약 60도에서 약 90도 사이의 온도로 유지되는 용액에 최소한 약 1분 동안 노출시키는 한편, 음향에너지를 인가함으로써 선택적으로 제거될 수 있다. 약 1,350Å의 두께를 지닌 희생층(104)을 탈 이온화된 물에서 체적으로 약 25%의 TMAH를 포함하는 용액에 약 80도에서 약 2분 동안 노출시키는 한편, 음향 에너지를 약 1,000khz에서(약 5와트/cm2에서 소산함) 인가함으로써 선택적으로 제거하는 것은 상당량의 층(106)을 제거시키지 않고도 사실상 모든 층(104)을 제거할 수 있다. 첫번째 높은 k의 게이트 유전체 층(105)은 희생층(104)을 제거하도록 적용되는 에칭액이 상기 게이트 유전체 층(105) 아래 위치되는 채널 영역에 닿지 않도록 충분히 두꺼워야 한다.
희생 금속층(169)은 선택적인 에칭에 의하여 제거될 수 도 있다. 몇몇 실시예들에서, 상기 층(169)은 제거되지 않을 수 있다. 몇몇 실시예들에서, 유전체 층(105)은 대체 금속 게이트를 형성하기 전에 제거될 수 있다. 이러한 경우, 금속 산화물 게이트 유전체는 대체 게이트를 형성하기 전에 형성될 수 있다.
설명된 실시예에서, n형 금속층(115)은 트렌치(113)를 채우고 도 1k의 구조를 형성하기 위하여 층(105) 위에 직접 형성된다. N형 금속층(115)은 어떤 n형의 전도성 재료를 포함할 수 있으며, 이 재료로부터 금속 NMOS 게이트 전극이 얻어질 수 있다. N형 금속층(115)은 반도체 소자를 위한 금속 NMOS 게이트 전극을 만들기에 적합하도록 해주는 열적 안정 특성을 갖는 것이 바람직하다.
N형 금속층(115)을 형성하는데 사용되는 재료들은 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄, 및 이들의 합금(예로서, 이들 원소를 포함하는 금속 탄화물 즉, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈륨 탄화물, 및 알루미늄 탄화물)을 포함한다. N형 금속층(115)은 잘 알려진 PVD 또는 CVD 공정들, 예로서 종래의 스퍼터 또는 원자층 CVD 공정을 사용하여 첫번째 높은 k의 게이트 유전체 층(105) 위에 형성될 수 있다. 도 1l에 도시되어 있듯이, N형 금속층(115)은 트렌치(113)를 채우는 곳을 제외하고 제거된다. 금속층(115)은 습식이나 건식 에칭 공정 또는 적절한 CMP 작업을 통하여 소자의 다른 부분들로부터 제거될 수 있다. 유전체(112)는 층(115)이 그 표면으로부터 제거되면 에칭 또는 연마 중지의 역할을 할 수 있다.
N형 금속층(115)은 금속 NMOS 게이트 전극으로 역할을 할 수 있는데, 이 전극은 약 3.9eV와 약 4.2eV 사이의 일함수를 갖고, 약 100Å과 약 2,000Å 사이의 두께를 가질 수 있는데, 상기 금속층은 특히 약 500Å과 약 1,600Å 사이의 두께가 될 수 있다. 비록 도 1j와 1k는 N형 금속층(115)이 모든 트렌치(113)를 채우고 있는 구조를 나타내고 있지만, 대안적인 실시예들에서 N형 금속층(115)은 트렌치(113)의 일부 만을 채우고 트렌치의 나머지 부분은 쉽게 연마될 수 있는 재료(예로서, 텅스텐, 알루미늄, 티타늄, 또는 티타늄 질화물)로 채워질 수 있다. 일함수 금속 대신에 보다 높은 전도성의 충진 금속을 사용하는 것은 게이트 스택(stack)의 전체 전도성을 향상시킬 수 있다. 이러한 대안적인 실시예에서, 일함수 금속으로 역할을 하는 n형 금속층(115)은 약 50과 약 1,000Å 사이의 두께, 예로서 최소한 약 100Å의 두께가 될 수 있다.
트렌치(113)가 일함수 금속과 트랜치 충진 금속 모두를 포함하는 일실시예들에서, 결과의 금속 NMOS 게이트 전극은 일함수 금속과 트렌치 충진 금속 모두의 조합을 포함하는 것으로 간주될 수 있다. 만일 트렌치 충진 금속이 일함수 금속 상에 증착되면, 트렌치 충진 금속은 증착시 전체 소자를 덮어서 도 1k의 구조와 같은 구 조를 형성할 수 있다. 이 트렌치 충진 금속은 이후 트렌치 만을 충진하여 도 1l의 구조와 같은 구조를 형성하도록 다시 연마되어야 한다.
설명된 실시예에서, 트렌치(113) 내에 n형 금속층(115)을 형성한 후, 희생층(106)은 측벽 스페이서들(110, 111) 사이에 위치되는 트렌치(150)를 생성하도록 제거되어, 도 1m에 도시된 구조를 형성한다. 바람직한 실시예에서, 층(106)은 n형 금속층(115)의 주요 부분들을 제거시키지 않고도 사실상 모든 층(106)을 제거하기 위하여, 탈 이온화된 물에서 체적으로 약 20%와 약 30% 사이의 TMAH를 포함하는 용액에 충분한 온도(예로서, 약 60도에서 약 90도 사이)와 충분한 시간 동안 노출되는 한편, 음향에너지가 인가된다.
대안적으로, 건식 에칭 공정이 층(106)을 선택적으로 제거하기 위하여 인가될 수 있다. 희생층(106)이 p형(예로서, 붕소)으로 도핑되면, 이러한 건식 에칭 공정은 SF6(Sulfur Hexafluoride: 황 6불화물), HBr, HI(Hydrogen Iodide: 수소 요오드화물), 염소, 아르곤, 및/또는 헬륨에서 나온 플라즈마에 희생층(106)을 노출시키는 단계를 포함할 수 있다. 이러한 선택적인 건식 에칭 공정은 평행판 반응기 또는 전자 사이클로트론 공진 에칭기(electron cyclotron resonance etcher)에서 일어날 수 있다.
희생층(106)을 제거한 다음, 두번째 높은 k의 게이트 유전체 층(107)을 예를 들어, 상술한 과산화 수소를 기초로 하는 용액에 노출시킴으로써 세척하는 것이 바람직하다. 선택적으로는 상술한 바와 같이, 씌우기(capping) 층(증착된 후 산화될 수 있다)이 트렌치(150)를 p형 금속으로 충진하기 전에, 두번째 높은 k의 게이트 유전체 층(107) 상에 형성될 수 있다. 이 실시예에서는 그러나, p형 금속층(116)은 트렌치(150)를 충진하고 도 1n의 구조를 형성하기 위하여 층(107) 위에 직접 형성된다. n형 금속층(116)은 금속 PMOS 게이트 전극이 얻어질 수 있는 어떤 p형 전도성 재료를 포함할 수 있다. p형 금속층(116)은 반도체 소자를 위한 금속 PMOS 게이트 전극을 제조하기에 적합하게 해주는 열적 안정 특성을 갖는 것이 바람직하다.
p형 금속층(116)을 형성하는데 사용될 수 있는 재료들은 루테늄(ruthenium), 팔라듐(palladium), 백금, 코발트, 니켈, 및 예로서 루테늄 산화물과 같은 전도성 금속 산화물들을 포함한다. p형 금속층(116)은 잘 알려진 PVD 또는 CVD 공정, 예로서 종래의 스퍼터 또는 원자층 CVD 공정을 사용하여 두번째 높은 k의 게이트 유전체 층(107)에 형성될 수 있다. 도 1O에 도시된 것과 같이, p형 금속층(116)은 트렌치(150)를 채우는 곳을 제외하고 제거된다. 층(116)은 습식이나 건식 에칭 공정 또는 적절한 CMP 작업을 통하여 에칭 또는 연마 중지의 역할을 하는 유전체(112)로써 소자의 다른 부분들로부터 제거될 수 있다.
p형 금속층(116)은 약 4.9eV와 약 5.2eV 사이의 일함수를 갖고, 약 100Å과 약 2,000Å 사이의 두께, 보다 바람직하게는 약 500Å과 약 1,600Å 사이의 두께가 되는 금속 NMOS 게이트 전극으로 역할을 할 수 있다. 비록 도 1n과 도 1o는 p형 금속층(116)이 모든 트렌치(150)를 채우는 구조들을 나타내고 있으나, 대안적인 실시예들에서, p형 금속층(116)은 트렌치(150)의 일부 만을 채울 수도 있다. 금속 NMOS 게이트 전극으로 그러했듯이, 트렌치의 나머지는 쉽게 연마되는 재료들, 예로서 텅 스텐, 알루미늄, 티타늄, 또는 티타늄 질화물로 충진될 수 있다. 이러한 대안적인 실시예에서, 일함수 금속으로서 역할을 하는 p형 금속층(116)은 약 500Å과 약 1,000Å 사이의 두께가 될 수 있다. 금속 NMOS 게이트 전극과 같이 트렌치(150)가 일함수 금속과 트렌치 충진 금속을 포함하는 실시예들에서, 결과물인 금속 PMOS 게이트 전극은 일함수 금속과 트렌치 충진 금속 모두의 조합물을 포함하는 것으로 간주될 수 있다.
다음으로, 유전체 층(112)은 도 1p의 도시된 구조를 형성하도록 제거될 수 있다. 새로운 질화물 에칭 중지층(181)은 이후 도 1q에 도시된 것과 같이 증착될 수 있다. 이 층(181)은 일 실시예에서 층(180)과 동일할 수 있다. 이후 유전체 층(214)이 도 1r에 도시된 것과 같이 증착되어 층간 유전체를 형성할 수 있다. 층(214)은 층(112)과 동일한 재료와 동일한 방식으로 형성될 수 있다.
질화물 에칭 중지층(180)의 일부분이 층들(104, 106)을 제거하는 과정에서 제거되었기 때문에, 이러한 층이 변형의 감소를 제공했었던 잇점들이 없어진다. 그러므로, 층(181)과 층(214)을 재 부가함으로써, 변형 감소층과 에칭 중지층의 잇점들이 되살아날 수 있다. 몇몇 실시예들에서, 어떤 유전체(214)가 활용될 수 있다. 예로서, 유전체(214)는 약 5보다 낮은, 예로서 약 3.2의 유전율을 갖는 투과성 또는 비 투과성의 탄소가 도핑된 산화물과 같은 낮은 k의 유전체 층이 될 수 있다.
본 발명은 제한된 수의 실시예들에 대하여 설명되었지만, 당업자들이 라면 상기 실시예들로부터의 다양한 수정과 변형들을 인지하고 있을 것이다. 첨부된 청구범위들은 본 발명의 진정한 사상과 영역내에 속할 것이므로 이러한 모든 수정과 변형들을 망라하는 것이다.

Claims (15)

  1. 희생 게이트 구조물을 형성하는 단계와,
    상기 희생 게이트 구조물을 제거하는 단계와,
    상기 희생 게이트 구조를 금속 게이트 전극으로 대체하는 단계와,
    상기 금속 게이트 전극을 질화물 층으로 피복하는 단계와,
    상기 질화물 층을 5보다 작은 유전율을 갖는 층간 유전체로 피복하는 단계를 포함하는 방법.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    한 쌍의 희생 게이트 구조물을 형성하는 단계와,
    상기 희생 게이트 구조물을 NMOS와 PMOS 트랜지스터를 형성하는데 적용되는 금속 게이트 전극들로 대체하는 단계를 포함하는 방법.
  5. 제 1 항에 있어서,
    상기 희생 게이트 구조물의 형성 단계는 측벽 스페이서들을 갖는 폴리실리콘 게이트 구조물을 형성하는 단계를 포함하는 방법.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 반도체 구조물에 있어서,
    기판과,
    상기 기판 위에 형성되는 금속 게이트 전극과,
    상기 금속 게이트 전극 위의 질화물 층과,
    상기 질화물 층 위의 층간 유전체 층을 포함하되,
    상기 층간 유전체는 5 보다 작은 유전율을 갖는
    반도체 구조물.
  11. 삭제
  12. 제 10 항에 있어서,
    상기 반도체 구조물은 한 쌍의 금속 게이트 전극을 포함하되, 그 하나는 NMOS 트랜지스터를 위한 것이고 나머지 하나는 PMOS 트랜지스터를 위한 것인 반도체 구조물.
  13. 제 10 항에 있어서,
    상기 질화물 층은 상기 게이트 전극과 직접 접촉하는 반도체 구조물.
  14. 제 10 항에 있어서,
    상기 층간 유전체는 금속 게이트 전극들 사이의 영역을 충진하는 반도체 구조물.
  15. 제 10 항에 있어서,
    상기 층간 유전체는 카본이 도핑된 산화물인 반도체 구조물.
KR1020077003160A 2004-07-28 2005-07-14 반도체 구조물과 그 형성 방법 KR100865885B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/900,582 US7138323B2 (en) 2004-07-28 2004-07-28 Planarizing a semiconductor structure to form replacement metal gates
US10/900,582 2004-07-28
PCT/US2005/025339 WO2006020158A2 (en) 2004-07-28 2005-07-14 Planarizing a semiconductor structure to form replacement metal gates

Publications (2)

Publication Number Publication Date
KR20070032807A KR20070032807A (ko) 2007-03-22
KR100865885B1 true KR100865885B1 (ko) 2008-10-29

Family

ID=35519834

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077003160A KR100865885B1 (ko) 2004-07-28 2005-07-14 반도체 구조물과 그 형성 방법

Country Status (8)

Country Link
US (2) US7138323B2 (ko)
JP (1) JP5154222B2 (ko)
KR (1) KR100865885B1 (ko)
CN (1) CN101027761B (ko)
DE (1) DE112005001828B4 (ko)
GB (1) GB2442996B (ko)
TW (1) TWI277137B (ko)
WO (1) WO2006020158A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7256349B2 (en) * 2004-08-11 2007-08-14 3M Innovative Properties Company Telecommunications cable enclosure
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
DE102004052617B4 (de) * 2004-10-29 2010-08-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US7572704B2 (en) * 2006-12-27 2009-08-11 Hynix Semiconductor Inc. Method for forming metal pattern and method for forming gate electrode in semiconductor device using the same
JP2008198935A (ja) * 2007-02-15 2008-08-28 Sony Corp 絶縁ゲート電界効果トランジスタの製造方法。
US7781288B2 (en) * 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102008046761B4 (de) * 2007-09-14 2021-08-05 Infineon Technologies Ag Halbleiterbauelement mit leitfähiger Verbindungsanordnung und Verfahren zur Bildung eines Halbleiterbauelements
US7986023B2 (en) * 2007-09-17 2011-07-26 Infineon Technologies Ag Semiconductor device with inductor
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US7790559B2 (en) * 2008-02-27 2010-09-07 International Business Machines Corporation Semiconductor transistors having high-K gate dielectric layers and metal gate electrodes
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8524588B2 (en) 2008-08-18 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N work function and P work function in a high-k/metal gate process
US8237227B2 (en) 2008-08-29 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate structure for gate last process
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
US8163655B2 (en) * 2008-09-15 2012-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a sacrificial sandwich structure
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
US7977181B2 (en) * 2008-10-06 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
JP2010129978A (ja) * 2008-12-01 2010-06-10 Rohm Co Ltd 半導体装置の製造方法
US7838356B2 (en) * 2008-12-31 2010-11-23 Texas Instruments Incorporated Gate dielectric first replacement gate processes and integrated circuits therefrom
DE102009006802B3 (de) * 2009-01-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren und Halbleiterbauelement mit Einstellung der Austrittsarbeit in einer Gateelektrodenstruktur mit großem ε nach der Transistorherstellung unter Anwendung von Lanthanum
US7915127B2 (en) * 2009-07-27 2011-03-29 United Microelectronics Corp. Manufacturing method of semiconductor device
KR101634748B1 (ko) * 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
DE102010003451B4 (de) * 2010-03-30 2013-12-24 Globalfoundries Dresden Module One Llc & Co. Kg Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
CN102468238A (zh) * 2010-11-04 2012-05-23 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8519454B2 (en) 2011-03-30 2013-08-27 International Business Machines Corporation Structure and process for metal fill in replacement metal gate integration
CN102800576B (zh) * 2011-05-26 2015-09-02 中芯国际集成电路制造(上海)有限公司 图形化膜层的方法、形成栅极、mos晶体管的方法
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8921944B2 (en) 2011-07-19 2014-12-30 United Microelectronics Corp. Semiconductor device
US9070784B2 (en) * 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US20130181265A1 (en) * 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
CN103681498B (zh) * 2012-09-12 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection
US9041076B2 (en) 2013-02-03 2015-05-26 International Business Machines Corporation Partial sacrificial dummy gate with CMOS device with high-k metal gate
US8889540B2 (en) 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
CN104037073B (zh) * 2013-03-04 2016-12-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN105592961B (zh) * 2013-06-07 2019-08-13 通用电气公司 中空金属物体和用于制造其的方法
CN104241109A (zh) * 2013-06-17 2014-12-24 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
CN104465518B (zh) * 2013-09-24 2017-09-22 中芯国际集成电路制造(上海)有限公司 栅极制作方法
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9231067B2 (en) 2014-02-26 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9698019B2 (en) 2014-03-14 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. N-work function metal with crystal structure
TWI555065B (zh) * 2014-12-15 2016-10-21 力晶科技股份有限公司 非揮發性記憶體的製造方法
CN106531684B (zh) * 2015-09-11 2019-07-16 中国科学院微电子研究所 一种形成自对准接触部的方法
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9331148B1 (en) 2015-12-08 2016-05-03 International Business Machines Corporation FinFET device with channel strain
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10262890B1 (en) 2018-03-09 2019-04-16 International Business Machines Corporation Method of forming silicon hardmask

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211026B1 (en) * 1998-12-01 2001-04-03 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming elevated source/drain regions of a field effect transistor, and methods of forming field effect transistors
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
KR20010004598A (ko) * 1999-06-29 2001-01-15 김영환 반도체 소자의 게이트 형성방법
JP2001044421A (ja) * 1999-07-27 2001-02-16 Mitsubishi Electric Corp Misfetの製造方法
US6800512B1 (en) * 1999-09-16 2004-10-05 Matsushita Electric Industrial Co., Ltd. Method of forming insulating film and method of fabricating semiconductor device
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6436822B1 (en) * 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
JP3669919B2 (ja) * 2000-12-04 2005-07-13 シャープ株式会社 半導体装置の製造方法
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP2003133549A (ja) * 2001-10-29 2003-05-09 Nec Corp Mosfet及びその製造方法
JP2003133547A (ja) * 2001-10-29 2003-05-09 Nec Kansai Ltd 高耐圧半導体装置の製造方法
US6713335B2 (en) * 2002-08-22 2004-03-30 Chartered Semiconductor Manufacturing Ltd. Method of self-aligning a damascene gate structure to isolation regions
JP2004095611A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置およびその製造方法
US7126198B2 (en) * 2002-09-03 2006-10-24 Agere Systems Inc. Protruding spacers for self-aligned contacts
JP2004152995A (ja) * 2002-10-30 2004-05-27 Toshiba Corp 半導体装置の製造方法
JP4197607B2 (ja) * 2002-11-06 2008-12-17 株式会社東芝 絶縁ゲート型電界効果トランジスタを含む半導体装置の製造方法
US6709911B1 (en) * 2003-01-07 2004-03-23 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6927146B2 (en) * 2003-06-17 2005-08-09 Intel Corporation Chemical thinning of epitaxial silicon layer over buried oxide
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7220635B2 (en) * 2003-12-19 2007-05-22 Intel Corporation Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7078282B2 (en) * 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
US20070037372A1 (en) 2007-02-15
GB0700528D0 (en) 2007-02-21
DE112005001828T5 (de) 2007-05-16
CN101027761B (zh) 2013-02-06
KR20070032807A (ko) 2007-03-22
WO2006020158A3 (en) 2006-10-12
US20060022277A1 (en) 2006-02-02
JP2008507856A (ja) 2008-03-13
US7138323B2 (en) 2006-11-21
TW200608471A (en) 2006-03-01
TWI277137B (en) 2007-03-21
GB2442996A (en) 2008-04-23
JP5154222B2 (ja) 2013-02-27
GB2442996B (en) 2009-04-01
DE112005001828B4 (de) 2010-04-08
WO2006020158A2 (en) 2006-02-23
CN101027761A (zh) 2007-08-29

Similar Documents

Publication Publication Date Title
KR100865885B1 (ko) 반도체 구조물과 그 형성 방법
US7902058B2 (en) Inducing strain in the channels of metal gate transistors
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7160767B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
KR100881477B1 (ko) 금속 게이트 전극 반도체 장치
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
TWI338348B (en) Forming abrupt source drain metal gate transistors
US20070029627A1 (en) Reducing the dielectric constant of a portion of a gate dielectric
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US20060237801A1 (en) Compensating for induced strain in the channels of metal gate transistors
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20060148150A1 (en) Tailoring channel dopant profiles
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120919

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140930

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 9