ATE391300T1 - Mehrfacherfassungs-dft-system zum detektieren oder auffinden von überschreitenden taktbereichsfehlern während der selbstprüfung oder scan-prüfung - Google Patents

Mehrfacherfassungs-dft-system zum detektieren oder auffinden von überschreitenden taktbereichsfehlern während der selbstprüfung oder scan-prüfung

Info

Publication number
ATE391300T1
ATE391300T1 AT02709061T AT02709061T ATE391300T1 AT E391300 T1 ATE391300 T1 AT E391300T1 AT 02709061 T AT02709061 T AT 02709061T AT 02709061 T AT02709061 T AT 02709061T AT E391300 T1 ATE391300 T1 AT E391300T1
Authority
AT
Austria
Prior art keywords
test
clock domains
scan
scan cells
detecting
Prior art date
Application number
AT02709061T
Other languages
English (en)
Inventor
Laung-Terng Wang
Po-Ching Hsu
Shih-Chia Kao
Meng-Chyi Lin
Hsin-Po Wang
Hao-Jan Chao
Xiaoqing Wen
Original Assignee
Syntest Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26747802&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=ATE391300(T1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Syntest Technologies Inc filed Critical Syntest Technologies Inc
Application granted granted Critical
Publication of ATE391300T1 publication Critical patent/ATE391300T1/de

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31704Design for test; Design verification
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Electric Clocks (AREA)
AT02709061T 2001-02-15 2002-02-13 Mehrfacherfassungs-dft-system zum detektieren oder auffinden von überschreitenden taktbereichsfehlern während der selbstprüfung oder scan-prüfung ATE391300T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26860101P 2001-02-15 2001-02-15
US10/067,372 US7007213B2 (en) 2001-02-15 2002-02-07 Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test

Publications (1)

Publication Number Publication Date
ATE391300T1 true ATE391300T1 (de) 2008-04-15

Family

ID=26747802

Family Applications (1)

Application Number Title Priority Date Filing Date
AT02709061T ATE391300T1 (de) 2001-02-15 2002-02-13 Mehrfacherfassungs-dft-system zum detektieren oder auffinden von überschreitenden taktbereichsfehlern während der selbstprüfung oder scan-prüfung

Country Status (7)

Country Link
US (4) US7007213B2 (de)
EP (1) EP1360513B1 (de)
JP (2) JP4301813B2 (de)
CN (1) CN100414313C (de)
AT (1) ATE391300T1 (de)
DE (1) DE60225898T2 (de)
WO (1) WO2002067001A1 (de)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8769359B2 (en) 2001-02-15 2014-07-01 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test
US7007213B2 (en) * 2001-02-15 2006-02-28 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test
US8091002B2 (en) * 2001-02-15 2012-01-03 Syntest Technologies, Inc. Multiple-capture DFT system to reduce peak capture power during self-test or scan test
US6954887B2 (en) 2001-03-22 2005-10-11 Syntest Technologies, Inc. Multiple-capture DFT system for scan-based integrated circuits
US6789220B1 (en) * 2001-05-03 2004-09-07 Xilinx, Inc. Method and apparatus for vector processing
US7444567B2 (en) * 2002-04-09 2008-10-28 Syntest Technologies, Inc. Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US20040153926A1 (en) * 2002-10-30 2004-08-05 Abdel-Hafez Khader S. Method and apparatus for testing asynchronous set/reset faults in a scan-based integrated circuit
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7155649B2 (en) * 2003-03-12 2006-12-26 Matsushita Electric Industrial Co., Ltd. Scan test control method and scan test circuit
US7124342B2 (en) * 2004-05-21 2006-10-17 Syntest Technologies, Inc. Smart capture for ATPG (automatic test pattern generation) and fault simulation of scan-based integrated circuits
EP1505400A1 (de) * 2003-08-07 2005-02-09 Texas Instruments Incorporated Modulator für die Scan-Erfassungs-Frequenz
US7134061B2 (en) * 2003-09-08 2006-11-07 Texas Instruments Incorporated At-speed ATPG testing and apparatus for SoC designs having multiple clock domain using a VLCT test platform
US7073146B2 (en) * 2003-10-30 2006-07-04 Atrenta Inc. Method for clock synchronization validation in integrated circuit design
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
EP1584939B1 (de) * 2004-04-07 2013-02-13 STMicroelectronics (Research & Development) Limited Ein integrierter Schaltkreis mit Boundary-Scan-Testschaltung
US7424656B2 (en) * 2004-04-22 2008-09-09 Logicvision, Inc. Clocking methodology for at-speed testing of scan circuits with synchronous clocks
US7155651B2 (en) * 2004-04-22 2006-12-26 Logicvision, Inc. Clock controller for at-speed testing of scan circuits
US7590905B2 (en) * 2004-05-24 2009-09-15 Syntest Technologies, Inc. Method and apparatus for pipelined scan compression
JP2006038743A (ja) * 2004-07-29 2006-02-09 Nec Electronics Corp 半導体集積回路装置及びその試験装置
US7334172B2 (en) * 2004-10-20 2008-02-19 Lsi Logic Corporation Transition fault detection register with extended shift mode
WO2006064300A1 (en) * 2004-12-13 2006-06-22 Infineon Technologies Ag Circuitry and method for an at-speed scan test
US20060161818A1 (en) * 2005-01-14 2006-07-20 Ivo Tousek On-chip hardware debug support units utilizing multiple asynchronous clocks
JP4953649B2 (ja) * 2005-02-08 2012-06-13 ルネサスエレクトロニクス株式会社 半導体集積回路、ディレイテスト回路、及び半導体集積回路のテスト方法
US7240266B2 (en) * 2005-02-18 2007-07-03 International Business Machines Corporation Clock control circuit for test that facilitates an at speed structural test
CN101258417B (zh) * 2005-09-08 2011-04-13 Nxp股份有限公司 扫描测试方法
CN101300499B (zh) * 2005-11-04 2011-05-18 Nxp股份有限公司 集成电路测试方法和测试设备
US7840861B2 (en) * 2006-06-27 2010-11-23 Silicon Image, Inc. Scan-based testing of devices implementing a test clock control structure (“TCCS”)
EP1814234B1 (de) * 2006-01-20 2011-01-12 Silicon Image, Inc. Simultaner Codeprüfer und hardware-effiziente Eingabe/Ausgabe mit hoher Geschwindigkeit mit eingebautem Selbsttest und Fehlerbeseitigungsfunktionen
JP5160039B2 (ja) * 2006-02-10 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置及びそのテスト回路の追加方法
US7478300B2 (en) * 2006-04-28 2009-01-13 International Business Machines Corporation Method for testing functional boundary logic at asynchronous clock boundaries of an integrated circuit device
US7500164B2 (en) * 2006-06-01 2009-03-03 International Business Machines Corporation Method for testing an integrated circuit device having elements with asynchronous clocks or dissimilar design methodologies
JP2007327838A (ja) * 2006-06-07 2007-12-20 Toshiba Corp 半導体集積回路装置
US7793179B2 (en) * 2006-06-27 2010-09-07 Silicon Image, Inc. Test clock control structures to generate configurable test clocks for scan-based testing of electronic circuits using programmable test clock controllers
US8966308B2 (en) * 2006-08-18 2015-02-24 Dell Products L.P. System and method for clock domain management
JP2008122159A (ja) * 2006-11-09 2008-05-29 Toshiba Corp 半導体集積回路
JP4355345B2 (ja) 2007-02-23 2009-10-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 集積回路における電圧変動を抑制する回路
KR101047533B1 (ko) * 2007-02-23 2011-07-08 삼성전자주식회사 멀티 페이즈 스캔체인을 구동하는 시스템온칩과 그 방법
JP2008275480A (ja) * 2007-04-27 2008-11-13 Nec Electronics Corp 半導体集積回路のテスト回路、テスト方法
US9086459B2 (en) * 2008-02-21 2015-07-21 Mentor Graphics Corporation Detection and diagnosis of scan cell internal defects
US7784000B2 (en) * 2008-03-18 2010-08-24 International Business Machines Corporation Identifying sequential functional paths for IC testing methods and system
US20100138709A1 (en) * 2008-10-22 2010-06-03 Laung-Terng Wang Method and apparatus for delay fault coverage enhancement
JP2010139322A (ja) * 2008-12-10 2010-06-24 Renesas Electronics Corp 半導体集積回路およびその半導体集積回路のテスト方法
US8271918B2 (en) * 2009-01-31 2012-09-18 Mentor Graphics Corporation Formal verification of clock domain crossings
JP2011007589A (ja) * 2009-06-25 2011-01-13 Renesas Electronics Corp テスト方法、テスト制御プログラム及び半導体装置
US8195857B2 (en) * 2009-12-18 2012-06-05 Infineon Technologies Ag Coupling devices, system comprising a coupling device and method for use in a system comprising a coupling device
JP5471432B2 (ja) * 2009-12-25 2014-04-16 富士通株式会社 検証支援プログラム、および検証支援装置
JP5303490B2 (ja) * 2010-02-18 2013-10-02 株式会社日立製作所 半導体装置
US8707117B2 (en) 2010-10-20 2014-04-22 Advanced Micro Devices, Inc. Methods and apparatus to test multi clock domain data paths with a shared capture clock signal
WO2012172620A1 (ja) * 2011-06-14 2012-12-20 パナソニック株式会社 半導体集積回路およびデバッグ方法
JP6054597B2 (ja) * 2011-06-23 2016-12-27 ラピスセミコンダクタ株式会社 半導体集積回路
TWI477794B (zh) * 2012-10-02 2015-03-21 Realtek Semiconductor Corp 積體電路掃描時脈域分配方法以及相關機器可讀媒體
EP2965100B1 (de) * 2013-03-07 2017-11-29 Finisar Corporation Selbsttest von integrierten schaltungen
US10379161B2 (en) 2013-06-17 2019-08-13 Mentor Graphics Corporation Scan chain stitching for test-per-clock
US9335377B2 (en) * 2013-06-17 2016-05-10 Mentor Graphics Corporation Test-per-clock based on dynamically-partitioned reconfigurable scan chains
US9347993B2 (en) 2013-06-17 2016-05-24 Mentor Graphics Corporation Test generation for test-per-clock
US9110135B2 (en) * 2013-09-23 2015-08-18 International Business Machines Corporation Chip testing with exclusive OR
US9244795B2 (en) * 2013-10-28 2016-01-26 Synopsys, Inc. Method and apparatus for emulation and prototyping with variable cycle speed
US9536031B2 (en) * 2014-07-14 2017-01-03 Mediatek Inc. Replacement method for scan cell of integrated circuit, skewable scan cell and integrated circuit
US10254342B2 (en) * 2014-11-26 2019-04-09 Renesas Electronics Corporation Semiconductor device
CN104698367B (zh) * 2015-03-31 2018-05-25 中国人民解放军国防科学技术大学 一种降低扫描测试中被测组合电路功耗的方法
TWI603104B (zh) * 2015-09-14 2017-10-21 Integrated circuit with scan test and test method
CN109863413B (zh) * 2016-05-20 2022-03-25 默升科技集团有限公司 Serdes应用中基于扫描的测试设计
US10014899B2 (en) * 2016-07-15 2018-07-03 Texas Instruments Incorporated System and method for built-in self-test of electronic circuits
US10234505B1 (en) 2017-02-27 2019-03-19 Xilinx, Inc. Clock generation for integrated circuit testing
CN110514981B (zh) * 2018-05-22 2022-04-12 龙芯中科技术股份有限公司 集成电路的时钟控制方法、装置及集成电路
KR20200087375A (ko) 2019-01-10 2020-07-21 삼성전자주식회사 논리 회로의 at-speed 테스트를 위한 시스템-온-칩 및 그것의 동작 방법
US11614487B2 (en) 2019-01-30 2023-03-28 Siemens Industry Software Inc. Multi-capture at-speed scan test based on a slow clock signal
US11347917B2 (en) * 2020-05-11 2022-05-31 Synopsys, Inc. Determining and verifying metastability in clock domain crossings

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4338677A (en) * 1980-06-17 1982-07-06 Hewlett-Packard Company Multi-clock data capture circuit
US4503537A (en) * 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
JP2711492B2 (ja) * 1992-03-05 1998-02-10 日本電信電話株式会社 組込み自己試験回路
JP2553292B2 (ja) * 1991-12-20 1996-11-13 インターナショナル・ビジネス・マシーンズ・コーポレイション 論理回路テスト装置及び方法
US5349587A (en) * 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
JP3060829B2 (ja) * 1994-05-27 2000-07-10 川崎製鉄株式会社 半導体集積回路
GB9417589D0 (en) * 1994-09-01 1994-10-19 Inmos Ltd Scan test
JP2737695B2 (ja) * 1995-05-24 1998-04-08 日本電気株式会社 スキャンテスト回路およびそれを含む半導体集積回路装置
US5680543A (en) * 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
JPH09139667A (ja) * 1995-11-14 1997-05-27 Nec Corp プログラマブルロジック回路の自己点検回路
US5991909A (en) * 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US5909451A (en) * 1996-11-21 1999-06-01 Sun Microsystems, Inc. System and method for providing scan chain for digital electronic device having multiple clock domains
WO1998026301A1 (en) * 1996-12-13 1998-06-18 Koninklijke Philips Electronics N.V. Integrated circuit comprising a first and a second clock domain and a method for testing such a circuit
US5991898A (en) * 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
CA2225879C (en) * 1997-12-29 2001-05-01 Jean-Francois Cote Clock skew management method and apparatus
US6115763A (en) * 1998-03-05 2000-09-05 International Business Machines Corporation Multi-core chip providing external core access with regular operation function interface and predetermined service operation services interface comprising core interface units and masters interface unit
US6966021B2 (en) * 1998-06-16 2005-11-15 Janusz Rajski Method and apparatus for at-speed testing of digital circuits
US6070260A (en) * 1998-09-17 2000-05-30 Xilinx, Inc. Test methodology based on multiple skewed scan clocks
JP2000131394A (ja) * 1998-10-29 2000-05-12 Hitachi Ltd 診断機能付き論理集積回路
US6195776B1 (en) * 1998-11-02 2001-02-27 Synopsys, Inc. Method and system for transforming scan-based sequential circuits with multiple skewed capture events into combinational circuits for more efficient automatic test pattern generation
US6327684B1 (en) * 1999-05-11 2001-12-04 Logicvision, Inc. Method of testing at-speed circuits having asynchronous clocks and controller for use therewith
US6341361B1 (en) * 1999-06-01 2002-01-22 Advanced Micro Devices, Inc. Graphical user interface for testability operation
US6442722B1 (en) * 1999-10-29 2002-08-27 Logicvision, Inc. Method and apparatus for testing circuits with multiple clocks
US6766487B2 (en) * 2000-03-09 2004-07-20 Texas Instruments Incorporated Divided scan path with decode logic receiving select control signals
US6510534B1 (en) * 2000-06-29 2003-01-21 Logicvision, Inc. Method and apparatus for testing high performance circuits
US7007213B2 (en) * 2001-02-15 2006-02-28 Syntest Technologies, Inc. Multiple-capture DFT system for detecting or locating crossing clock-domain faults during self-test or scan-test

Also Published As

Publication number Publication date
JP2004530865A (ja) 2004-10-07
JP4733191B2 (ja) 2011-07-27
US7260756B1 (en) 2007-08-21
EP1360513B1 (de) 2008-04-02
US7434126B2 (en) 2008-10-07
EP1360513A4 (de) 2005-01-19
US20070255988A1 (en) 2007-11-01
JP4301813B2 (ja) 2009-07-22
CN1623098A (zh) 2005-06-01
CN100414313C (zh) 2008-08-27
DE60225898T2 (de) 2009-05-20
US20020120896A1 (en) 2002-08-29
JP2009109512A (ja) 2009-05-21
WO2002067001A1 (en) 2002-08-29
US7007213B2 (en) 2006-02-28
US20090132880A1 (en) 2009-05-21
US7779323B2 (en) 2010-08-17
DE60225898D1 (de) 2008-05-15
EP1360513A1 (de) 2003-11-12

Similar Documents

Publication Publication Date Title
ATE391300T1 (de) Mehrfacherfassungs-dft-system zum detektieren oder auffinden von überschreitenden taktbereichsfehlern während der selbstprüfung oder scan-prüfung
Nigh et al. An experimental study comparing the relative effectiveness of functional, scan, IDDq and delay-fault testing
Mitra et al. X-compact: An efficient response compaction technique
Cox et al. A method of fault analysis for test generation and fault diagnosis
Huang et al. Survey of scan chain diagnosis
ATE406581T1 (de) Mehrfacherfassungs-dft-system für integrierte schaltungen auf scan-basis
Beck et al. Logic design for on-chip test clock generation-implementation details and impact on delay test quality
US5066909A (en) Apparatus for testing an electronic circuit having an arbitrary output waveform
US7480882B1 (en) Measuring and predicting VLSI chip reliability and failure
Bhakthavatchalu et al. Deterministic seed selection and pattern reduction in Logic BIST
JP2006105997A (ja) 電子デバイスにスキャンパターンを提供する方法および装置
US6728914B2 (en) Random path delay testing methodology
KR100506769B1 (ko) 고속 테스트 패턴 평가 장치
Varaprasad et al. A new ATPG technique (MultiDetect) for testing of analog macros in mixed-signal circuits
Variyam et al. Digital-compatible BIST for analog circuits using transient response sampling
KR20060019556A (ko) 집적 회로 디바이스 테스트 방법 및 장치, 집적 회로디바이스
Fagot et al. A ring architecture strategy for BIST test pattern generation
Song et al. Diagnostic techniques for the IBM S/390 600 MHz G5 microprocessor
Bayraktaroglu et al. Gate level fault diagnosis in scan-based BIST
Gomes et al. Minimal length diagnostic tests for analog circuits using test history
EP1239293A3 (de) Anordnung und Verfahren zum Testen von integrierten Schaltkreisen
Whetsel Event qualification: A gateway to at-speed system testing
Allen et al. DORA: CAD interface to automatic diagnostics
Cogswell et al. Test structure verification of logical BIST: problems and solutions
Butler A study of test quality/tester scan memory trade-offs using the SEMATECH test methods data

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties