JP2007266143A - Non-volatile semiconductor memory device and manufacturing method therefor - Google Patents

Non-volatile semiconductor memory device and manufacturing method therefor Download PDF

Info

Publication number
JP2007266143A
JP2007266143A JP2006086674A JP2006086674A JP2007266143A JP 2007266143 A JP2007266143 A JP 2007266143A JP 2006086674 A JP2006086674 A JP 2006086674A JP 2006086674 A JP2006086674 A JP 2006086674A JP 2007266143 A JP2007266143 A JP 2007266143A
Authority
JP
Japan
Prior art keywords
memory device
semiconductor memory
nonvolatile semiconductor
memory
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006086674A
Other languages
Japanese (ja)
Other versions
JP5016832B2 (en
Inventor
Takashi Kito
傑 鬼頭
Hideaki Aochi
英明 青地
Ryuta Katsumata
竜太 勝又
Akihiro Nitayama
晃寛 仁田山
Masaru Kito
大 木藤
Hiroyasu Tanaka
啓安 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2006086674A priority Critical patent/JP5016832B2/en
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to US11/654,551 priority patent/US7936004B2/en
Priority to CNB2007100884708A priority patent/CN100550392C/en
Priority to KR1020070029906A priority patent/KR100884861B1/en
Publication of JP2007266143A publication Critical patent/JP2007266143A/en
Priority to US13/064,559 priority patent/US8551838B2/en
Priority to US13/198,359 priority patent/US9064735B2/en
Application granted granted Critical
Publication of JP5016832B2 publication Critical patent/JP5016832B2/en
Priority to US14/724,853 priority patent/US9748260B2/en
Priority to US15/666,653 priority patent/US10211219B2/en
Priority to US16/245,271 priority patent/US10916559B2/en
Priority to US17/141,504 priority patent/US11362106B2/en
Priority to US17/141,534 priority patent/US11374021B2/en
Priority to US17/744,571 priority patent/US11903205B2/en
Priority to US17/750,207 priority patent/US11903207B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

<P>PROBLEM TO BE SOLVED: To provide a non-volatile semiconductor memory device which has a novel structure, wherein memory cells are stacked three-dimensionally and which can reduce the chip area. <P>SOLUTION: The non-volatile semiconductor memory device includes a plurality of memory strings, to which a plurality of memory cells which can be electrically rewritten are connected in series. Each memory string has a columnar semiconductor, a first insulation film formed around the columnar semiconductor, charge accumulation layer formed around the first insulation film, a second insulation film formed around the charge accumulation layer, and first to n-th electrodes (n is a natural number of 2 or larger) formed around the second insulation film. The first to n-th electrodes of one memory string and the first to n-th electrodes of another memory string consist of first to n-th conductor layers which are spread two-dimensionally. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は電気的にデータの書き換えが可能な半導体記憶装置に関し、半導体記憶装置の中でも、特に、不揮発性半導体記憶装置に関する。 The present invention relates to a semiconductor memory device capable of electrically rewriting data, and more particularly to a nonvolatile semiconductor memory device among semiconductor memory devices.

小型で大容量な不揮発性半導体記憶装置の需要が急増し、高集積化、大容量化が期待できるNAND型フラッシュメモリが注目されている。 The demand for small-sized and large-capacity nonvolatile semiconductor memory devices has increased rapidly, and NAND flash memories that can be expected to be highly integrated and have a large capacity are drawing attention.

NAND型フラッシュメモリの高集積化、大容量化を進めるためには、デザインルールを縮小することが必要となる。デザインルールを縮小するためには、配線パターン等の更なる微細加工が必要となる。配線パターン等の更なる微細加工を実現するためには、非常に高度な加工技術が要求されるため、デザインルールの縮小化が困難になってきている。 In order to increase the integration density and capacity of the NAND flash memory, it is necessary to reduce the design rule. In order to reduce the design rule, further fine processing such as a wiring pattern is required. In order to realize further fine processing of wiring patterns and the like, a very advanced processing technique is required, so that it is difficult to reduce the design rule.

そこで、近年、メモリの集積度を高めるために、メモリセルを3次元的に配置した半導体記憶装置が多数提案されている(特許文献1乃至3及び非特許文献1)。 Therefore, in recent years, a large number of semiconductor memory devices in which memory cells are arranged three-dimensionally have been proposed in order to increase the degree of memory integration (Patent Documents 1 to 3 and Non-Patent Document 1).

メモリセルを3次元的に配置した従来の半導体記憶装置の多くは、メモリセル部分1層毎に複数のフォトエッチングプロセス(Photo Etching Process、以下「PEP」という。いわゆるフォトレジストを使ったリソグラフィ工程とエッチングなどの加工工程とを用いてパターンニングを行うプロセス。)を行う必要がある。ここで、そのデザインルールの最小線幅で行うフォトエッチングプロセスを「クリティカルPEP」とし、そのデザインルールの最小線幅より大きな線幅で行うフォトエッチングプロセスを「ラフPEP」とする。メモリセルを3次元的に配置した従来の半導体記憶装置においては、メモリセル部分1層につきクリティカルPEP数が3以上必要である。また、従来の半導体記憶装置においては、メモリセルを単純に積層していくものが多く、3次元化によるコスト増大が避けられない。 Many conventional semiconductor memory devices in which memory cells are arranged three-dimensionally include a plurality of photo-etching processes (hereinafter referred to as “PEPs”) for each memory cell portion layer. It is necessary to carry out a patterning process using a processing step such as etching. Here, the photoetching process performed with the minimum line width of the design rule is referred to as “critical PEP”, and the photoetching process performed with a line width larger than the minimum line width of the design rule is referred to as “rough PEP”. In a conventional semiconductor memory device in which memory cells are arranged three-dimensionally, the number of critical PEPs is required to be 3 or more per memory cell portion. In addition, many conventional semiconductor memory devices simply stack memory cells, and an increase in cost due to three-dimensionalization is inevitable.

また、メモリセルを3次元的に配置した従来の半導体記憶装置の一つに、SGT(円柱型)構造のトランジスタを用いた半導体記憶装置がある(特許文献1乃至3)。SGT(円柱型)構造のトランジスタを用いた半導体記憶装置においては、積層メモリトランジスタのチャネル(ボディ)部をピラー(柱)状に形成した後に、側壁にゲート電極となるポリシリコンなどを形成するというプロセスを採用している。真上から見た構造は、串刺し団子のような構造となっているため、微細化に伴い、隣接ゲート間のショートなどの問題が発生する可能性が高い。 As one of conventional semiconductor memory devices in which memory cells are arranged three-dimensionally, there is a semiconductor memory device using a transistor having an SGT (columnar) structure (Patent Documents 1 to 3). In a semiconductor memory device using an SGT (columnar) transistor, a channel (body) portion of a stacked memory transistor is formed in a pillar shape, and then polysilicon or the like serving as a gate electrode is formed on a sidewall. The process is adopted. Since the structure seen from directly above is a structure like a skewered dumpling, there is a high possibility that a problem such as a short circuit between adjacent gates will occur with miniaturization.

さらに、非特許文献1に開示されているように、上層ピラー及び側壁ゲートを形成した後、そのピラー及び側壁ゲートをマスクに下層ピラーを形成し、下層ゲートを形成している。よって、下層に行くにしたがってピラー径が異なるため、層毎にトランジスタ特性のバラツキが生じてしまうだけではなく、最下層のピラー径で2次元配置時のピッチが確定されるため、上から見たセル面積は大きくなってしまう。また、2次元状に配置された隣接ピラー同士は完全に分離されており、各層のワード線を接続するプロセスが別途必要になるため、プロセスが煩雑なものとなる。 Further, as disclosed in Non-Patent Document 1, after forming the upper layer pillar and the side wall gate, the lower layer pillar is formed using the pillar and the side wall gate as a mask to form the lower layer gate. Therefore, since the pillar diameter varies as going to the lower layer, not only does the transistor characteristics vary from layer to layer, but the pitch in the two-dimensional arrangement is determined by the pillar diameter of the lowermost layer. The cell area becomes large. Further, the adjacent pillars arranged two-dimensionally are completely separated from each other, and a process for connecting the word lines of the respective layers is separately required, so that the process becomes complicated.

従来の積層型の不揮発性半導体記憶装置は、階層毎に少なくともワード線が独立で存在しているため、必要なワード線ドライバの数が多くなり、チップ面積が大きくなっていた。
特開2003−078044号 米国特許第5,599,724号 米国特許第5,707,885号 Masuoka et al., “Novel Ultrahigh−Density Flash Memory With a Stacked−Surrounding Gate Transistor (S−SGT) Structured Cell”, IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO4, pp945−951, April 2003
In the conventional stacked nonvolatile semiconductor memory device, since at least word lines exist independently for each layer, the number of necessary word line drivers is increased and the chip area is increased.
JP 2003-078044 US Pat. No. 5,599,724 US Pat. No. 5,707,885 Masuoka et al. , "Novel Ultra-Density Flash Memory With a Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEEE TRANSACTION VEL. 50, NO4, pp945-951, April 2003

そこで、本発明は、メモリセルを3次元に積層した新規な構造を有し、チップ面積を縮小することができ、安価で歩留まりの高い不揮発性半導体記憶装置を提供する。 Therefore, the present invention provides a nonvolatile semiconductor memory device having a novel structure in which memory cells are three-dimensionally stacked, the chip area can be reduced, and which is inexpensive and has a high yield.

本発明の一実施態様によれば、電気的に書き換え可能な複数のメモリセルが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、前記メモリストリングスは、柱状半導体と、前記柱状半導体の周りに形成された第1の絶縁膜と、前記第1の絶縁膜の周りに形成された電荷蓄積層と、前記電荷蓄積層の周りに形成された第2の絶縁膜と、前記第2の絶縁膜の周りに形成された第1乃至第nの電極(nは2以上の自然数)とを有しており、前記メモリストリングスの前記第1乃至第nの電極と、別の前記メモリストリングスの前記第1乃至第nの電極とは、それぞれ、2次元的に広がる第1乃至第nの導電体層であることを特徴とする不揮発性半導体記憶装置が提供される。 According to an embodiment of the present invention, there is provided a nonvolatile semiconductor memory device having a plurality of memory strings in which a plurality of electrically rewritable memory cells are connected in series, wherein the memory strings include a columnar semiconductor, A first insulating film formed around the columnar semiconductor; a charge storage layer formed around the first insulating film; a second insulating film formed around the charge storage layer; A first to n-th electrode (n is a natural number of 2 or more) formed around the second insulating film; and the first to n-th electrode of the memory string, The first to nth electrodes of the memory string are first to nth conductor layers that extend two-dimensionally, respectively, and a nonvolatile semiconductor memory device is provided.

また、本発明の一実施態様によれば、半導体基板上に導電性不純物の拡散領域を形成し、前記半導体基板上に第1の絶縁膜と導電体とを交互に複数形成し、前記複数の第1の絶縁膜と前記導電体とに複数のホールを形成し、前記ホールの表面に第2の絶縁膜を形成し、前記ホールの底部にある前記第2の絶縁膜をエッチングし、前記ホールに柱状半導体を形成することを特徴とする不揮発性半導体記憶装置の製造方法が提供される。 According to one embodiment of the present invention, a conductive impurity diffusion region is formed on a semiconductor substrate, a plurality of first insulating films and conductors are alternately formed on the semiconductor substrate, Forming a plurality of holes in the first insulating film and the conductor; forming a second insulating film on a surface of the hole; etching the second insulating film at a bottom of the hole; A method for manufacturing a nonvolatile semiconductor memory device is provided, in which a columnar semiconductor is formed on the substrate.

本発明の一実施形態に係る不揮発性半導体記憶装置及びその製造方法によると、ワード線を各層毎に共通の導電体層によって形成することにより、ワード線ドライバの数を減少させることができ、チップ面積の縮小化を実現することができる。 According to the nonvolatile semiconductor memory device and the manufacturing method thereof according to an embodiment of the present invention, the number of word line drivers can be reduced by forming the word line with a common conductor layer for each layer, and the chip A reduction in area can be realized.

また、本発明の一実施形態に係る不揮発性半導体記憶装置及びその製造方法は、メモリトランジスタの積層数に応じた積層膜をあらかじめ堆積しておき、ホールパターンを一括で形成することにより、直列に接続された複数の縦型トランジスタを1PEPで形成することができる。 In addition, in the nonvolatile semiconductor memory device and the manufacturing method thereof according to an embodiment of the present invention, a stacked film corresponding to the number of stacked memory transistors is deposited in advance, and a hole pattern is formed in a lump in series. A plurality of connected vertical transistors can be formed with 1 PEP.

また、本発明の一実施形態に係る本発明の不揮発性半導体記憶装置及びその製造方法においては、直列に接続された複数の縦型トランジスタを動作させるためには、その上下に選択ゲートを接続する必要がある。その選択ゲートと直列に接続された複数の縦型トランジスタとの直列構造の形成についても、1又は2のPEP(メモリトランジスタ形成ホールPEP)と同時に行うことが可能である。 Further, in the nonvolatile semiconductor memory device and the manufacturing method thereof according to the embodiment of the present invention, in order to operate a plurality of serially connected vertical transistors, select gates are connected above and below them. There is a need. The formation of a series structure with a plurality of vertical transistors connected in series with the selection gate can also be performed simultaneously with one or two PEPs (memory transistor formation holes PEP).

また、本発明の一実施形態に係る不揮発性半導体記憶装置及びその製造方法は、直列に接続された複数の縦型トランジスタのソース側の選択ゲート線SGS及び各メモリトランジスタのワード線WLは、動作上、常に各層毎に共通電位とすることが可能である。よって、選択ゲート線SGS及びワード線WLには、いずれも層構造を採用することができる。このことにより、ワード線は、ラフPEPによって形成することが可能で、製造プロセスが簡略化され、コスト低減を実現することができる。 In addition, in the nonvolatile semiconductor memory device and the manufacturing method thereof according to the embodiment of the present invention, the selection gate line SGS on the source side of the plurality of vertical transistors connected in series and the word line WL of each memory transistor operate In addition, a common potential can always be set for each layer. Therefore, a layer structure can be employed for both the select gate line SGS and the word line WL. As a result, the word line can be formed by rough PEP, the manufacturing process is simplified, and the cost can be reduced.

以下、本発明の不揮発性半導体記憶装置及びその製造方法の実施形態について説明するが、本願発明は、以下の実施形態に限定されるわけではない。また、各実施形態において、同様の構成については同じ符号を付し、改めて説明しない場合がある。 Hereinafter, embodiments of the nonvolatile semiconductor memory device and the manufacturing method thereof according to the present invention will be described, but the present invention is not limited to the following embodiments. Moreover, in each embodiment, the same code | symbol is attached | subjected about the same structure and it may not explain anew.

一実施形態に係る本発明の不揮発性半導体記憶装置1の概略構成図を図1に示す。本実施形態に係る本発明の不揮発性半導体記憶装置1は、メモリトランジスタ領域2、ワード線駆動回路3、ソース側選択ゲート線(SGS)駆動回路4、ドレイン側選択ゲート線(SGD)駆動回路5、センスアンプ6等を有している。図1に示すように、本実施形態に係る本発明の不揮発性半導体記憶装置1においては、メモリトランジスタ領域2を構成するメモリトランジスタは、半導体層を複数積層することによって形成されている。また、図1に示すとおり各層のワード線は、ある領域で2次元的に広がっている。各層のワード線は、それぞれ同一層からなる平面構造を有しており、板状の平面構造となっている。 FIG. 1 shows a schematic configuration diagram of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. The nonvolatile semiconductor memory device 1 according to this embodiment includes a memory transistor region 2, a word line driving circuit 3, a source side selection gate line (SGS) driving circuit 4, and a drain side selection gate line (SGD) driving circuit 5. , A sense amplifier 6 and the like. As shown in FIG. 1, in the nonvolatile semiconductor memory device 1 of the present invention according to the present embodiment, the memory transistor constituting the memory transistor region 2 is formed by stacking a plurality of semiconductor layers. In addition, as shown in FIG. 1, the word lines in each layer extend two-dimensionally in a certain region. Each word line has a planar structure composed of the same layer, and has a plate-like planar structure.

なお、図1に示す本実施形態に係る本発明の不揮発性半導体記憶装置1においては、ソース側選択ゲート線(SGS)は板状の平面配線構造を有しており、ドレイン側選択ゲート線(SGD)はそれぞれが絶縁分離された配線構造を有している。また、図87に示すように、本実施形態に係る本発明の不揮発性半導体記憶装置1において、ソース側選択ゲート線(SGS)をそれぞれが絶縁分離された配線構造を有するようにし、且つドレイン側選択ゲート線(SGD)を板状の平面配線構造を有するようにしてもよい。また、図88に示すように、本実施形態に係る本発明の不揮発性半導体記憶装置1において、ソース側選択ゲート線(SGS)をそれぞれが絶縁分離された配線構造を有するようにし、且つドレイン側選択ゲート線(SGD)もそれぞれが絶縁分離された配線構造を有するようにしてもよい。 In the nonvolatile semiconductor memory device 1 according to this embodiment shown in FIG. 1, the source side select gate line (SGS) has a plate-like planar wiring structure, and the drain side select gate line ( SGD) has a wiring structure in which each is insulated and separated. As shown in FIG. 87, in the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment, the source side select gate lines (SGS) have a wiring structure in which each is insulated and separated, and the drain side The selection gate line (SGD) may have a plate-like planar wiring structure. As shown in FIG. 88, in the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment, the source side select gate lines (SGS) have a wiring structure in which each is insulated and separated, and the drain side The selection gate line (SGD) may also have a wiring structure in which each is isolated.

図2は、本実施形態に係る不揮発性半導体記憶装置1のメモリトランジスタ領域2の一部の概略構成図である。本実施形態においては、メモリトランジスタ領域2は、メモリトランジスタ(MTr1mn〜MTr4mn)、選択トランジスタSSTrmn及びSDTrmnからなるメモリストリングス10をm×n個(m、nは自然数)有している。図2においては、m=3、n=4の例を示している。 FIG. 2 is a schematic configuration diagram of a part of the memory transistor region 2 of the nonvolatile semiconductor memory device 1 according to this embodiment. In the present embodiment, the memory transistor region 2 has m × n memory strings 10 (m and n are natural numbers) including memory transistors (MTr1mn to MTr4mn) and selection transistors SSTrmn and SDTrmn. FIG. 2 shows an example in which m = 3 and n = 4.

各メモリストリングス10のメモリトランジスタ(MTr1mn〜MTr4mn)のゲートに接続されているワードライン(WL1〜WL4)はそれぞれ同一の導電層によって形成されており、それぞれ共通である。即ち、各メモリストリングス10のメモリトランジスタMTr1mnのゲートの全てがワードラインWL1に接続されており、各メモリストリングス10のメモリトランジスタMTr2mnのゲートの全てがワードラインWL2に接続されており、各メモリストリングス10のメモリトランジスタMTr3mnのゲートの全てがワードラインWL3に接続されており、各メモリストリングス10のメモリトランジスタMTr4mnのゲートの全てがワードラインWL4に接続されている。本実施形態に係る本発明の不揮発性半導体記憶装置1においては、図1及び図2に示すとおり、ワードライン(WL1〜WL4)は、それぞれ、2次元的に広がっており、板状の平面構造を有している。また、ワードライン(WL1〜WL4)は、それぞれ、メモリストリングス10に概略垂直な平面構造を有している。なお、ソース側選択トランジスタSSTrmnを駆動するソース側選択ゲート線SGSは、動作上、常に各層毎に共通電位とすることが可能である。よって、本実施形態においては、ソース側選択ゲート線SGSには、板状の構造を採用している。 The word lines (WL1 to WL4) connected to the gates of the memory transistors (MTr1mn to MTr4mn) of each memory string 10 are formed by the same conductive layer and are common to each other. That is, all the gates of the memory transistors MTr1mn of each memory string 10 are connected to the word line WL1, and all the gates of the memory transistors MTr2mn of each memory string 10 are connected to the word line WL2, so that each memory string 10 All the gates of the memory transistors MTr3mn are connected to the word line WL3, and all the gates of the memory transistors MTr4mn of each memory string 10 are connected to the word line WL4. In the nonvolatile semiconductor memory device 1 according to this embodiment of the present invention, as shown in FIGS. 1 and 2, each of the word lines (WL1 to WL4) extends two-dimensionally and has a plate-like planar structure. have. Each of the word lines (WL1 to WL4) has a planar structure substantially perpendicular to the memory string 10. Note that the source-side selection gate line SGS for driving the source-side selection transistor SSTrmn can always have a common potential for each layer in operation. Therefore, in the present embodiment, a plate-like structure is adopted for the source side selection gate line SGS.

各メモリストリングス10は、半導体基板のP−well領域に形成されたn+領域の上に柱状の半導体を有している。各メモリストリングス10は、柱状半導体に垂直な面内にマトリクス状に配置されている。なお、この柱状の半導体は、円柱状であっても、角柱状であってもよい。また、柱状の半導体とは、段々形状を有する柱状の半導体を含む。 Each memory string 10 has a columnar semiconductor on an n + region formed in a P-well region of a semiconductor substrate. Each memory string 10 is arranged in a matrix in a plane perpendicular to the columnar semiconductor. Note that the columnar semiconductor may be cylindrical or prismatic. The columnar semiconductor includes a columnar semiconductor having a stepped shape.

各ワード線WLは、隣り合う柱状半導体の間隔に柱状半導体の径を加えた距離の2倍以上の広がりを有するようにしてもよい。言い換えると、各ワード線WLは、隣り合う前記柱状半導体の中心間距離の2倍以上の広がりを有するのが好ましい。 Each word line WL may have a spread that is at least twice the distance obtained by adding the diameter of the columnar semiconductor to the interval between adjacent columnar semiconductors. In other words, each word line WL preferably has a spread that is at least twice the distance between the centers of the adjacent columnar semiconductors.

本実施形態に係る本発明の不揮発性半導体記憶装置1の一つのメモリストリングス10(ここでは、mn番目のメモリストリングス)の概略構造を図3(A)に、またその等価回路図を図3(B)に示す。本実施形態においては、メモリストリングス10は、4つのメモリトランジスタMTr1mn〜MTr4mn並びに2つの選択トランジスタSSTrmn及びSDTrmnを有している。これら4つのメモリトランジスタMTr1mn〜MTr4mn並びに2つの選択トランジスタSSTrmn及びSDTrmnは、図3に示すようにそれぞれ直列に接続されている。本実施形態の不揮発性半導体記憶装置1の1つのメモリストリングス10においては、半導体基板上のP=型領域(P−Well領域)14に形成されたN+領域15に柱状の半導体11が形成されている。また、柱状の半導体11の周りに形成された絶縁膜12と、絶縁膜12の周りに形成された複数の板状の電極13a〜13eが形成されている。この電極13b〜13eと絶縁膜12と柱状の半導体11とがメモリトランジスタMTr1mn〜MTr4mnを形成する。なお、絶縁膜12は、電荷蓄積層として機能する絶縁膜(例えば、酸化珪素膜、窒化珪素膜、酸化珪素膜の積層膜)である。例えば、絶縁膜12が酸化珪素膜、窒化珪素膜、酸化珪素膜の積層膜、所謂ONO膜である場合、窒化珪素膜に離散分布したSiNトラップに電荷が保持される。電極13b〜13eはそれぞれワード線WL1〜WL4及び、13fは選択ゲート線SGDn及び、13aはSGSとなる。また、選択トランジスタSDTrmnのソース/ドレインの一端にはビット線BLmが接続されており、選択トランジスタSSTrmnのソース/ドレインの一端にはソース線SL(本実施形態においては、N領域15)が接続されている。なお、前記電荷蓄積層は、MTr1mn〜MTr4mnの柱状半導体層11の周りに形成するように(柱状の半導体層11と電極13b〜13eとの間に局在するように)してもよい。 FIG. 3A shows a schematic structure of one memory string 10 (here, the mnth memory string) of the nonvolatile semiconductor memory device 1 according to the present embodiment, and FIG. Shown in B). In the present embodiment, the memory string 10 includes four memory transistors MTr1mn to MTr4mn and two selection transistors SSTrmn and SDTrmn. The four memory transistors MTr1mn to MTr4mn and the two selection transistors SSTrmn and SDTrmn are connected in series as shown in FIG. In one memory string 10 of the nonvolatile semiconductor memory device 1 of this embodiment, a columnar semiconductor 11 is formed in an N + region 15 formed in a P = type region (P-well region) 14 on a semiconductor substrate. ing. In addition, an insulating film 12 formed around the columnar semiconductor 11 and a plurality of plate-like electrodes 13 a to 13 e formed around the insulating film 12 are formed. The electrodes 13b to 13e, the insulating film 12, and the columnar semiconductor 11 form memory transistors MTr1mn to MTr4mn. The insulating film 12 is an insulating film functioning as a charge storage layer (for example, a laminated film of a silicon oxide film, a silicon nitride film, and a silicon oxide film). For example, when the insulating film 12 is a silicon oxide film, a silicon nitride film, a laminated film of a silicon oxide film, a so-called ONO film, electric charges are held in SiN traps that are discretely distributed in the silicon nitride film. The electrodes 13b to 13e are word lines WL1 to WL4, 13f is a select gate line SGDn, and 13a is SGS. The bit line BLm is connected to one end of the source / drain of the selection transistor SDTrmn, and the source line SL (N + region 15 in this embodiment) is connected to one end of the source / drain of the selection transistor SSTrmn. Has been. The charge storage layer may be formed around the columnar semiconductor layers 11 of MTr1mn to MTr4mn (localized between the columnar semiconductor layer 11 and the electrodes 13b to 13e).

なお、この電荷蓄積層に導電体によって形成したフローティングゲートを採用してもよい。このときは、導電体は柱状半導体と各ワード線の間にのみ形成される。 Note that a floating gate formed of a conductor may be used for the charge storage layer. In this case, the conductor is formed only between the columnar semiconductor and each word line.

また、電極13a及び13fと柱状の半導体11との間には、ゲート絶縁膜として機能する絶縁膜14が形成される。 An insulating film 14 that functions as a gate insulating film is formed between the electrodes 13 a and 13 f and the columnar semiconductor 11.

なお、本実施形態においては、メモリストリングス10は4つのメモリトランジスタMTr1mn〜MTr4mnを有しているが、1つのメモリストリングスにあるメモリトランジスタの数はこれに限定されるわけではなく、メモリ容量に応じて任意の数に適宜変更することが可能である。 In this embodiment, the memory string 10 has four memory transistors MTr1mn to MTr4mn. However, the number of memory transistors in one memory string is not limited to this, and depends on the memory capacity. The number can be appropriately changed to any number.

本発明の本実施形態メモリストリングスは、柱状半導体の中心軸に対して概略対称形状を有することになる。 The memory strings of this embodiment of the present invention have a substantially symmetrical shape with respect to the central axis of the columnar semiconductor.

図4には、本実施形態における一つのメモリトランジスタMTr(例えば、MTr4mn)の断面構造を示す図である。なお、他のメモリトランジスタMTr1mn〜MTr3mnについてもメモリトランジスタMTr4mnと同様の構成である。メモリトランジスタMTr4mnは、絶縁体12を介して柱状の半導体11を取り囲む導電体層13eが制御ゲート電極として機能する。メモリトランジスタMTr4のソース20及びドレイン21は、柱状の半導体11に形成される。ただし、メモリトランジスタMTrlmn並びに選択ゲートトランジスタSSTrmn及びSDTrmnがディプレッション型のトランジスタ構造を有する場合は、半導体11部分に明確なソース/ドレイン拡散層を持たないようにする場合もある。また、柱状の半導体11のうち、おおむね導電体層13eで囲まれた領域をP型半導体にし、おおむね導電体層13eで囲まれていない領域をN型半導体にしたいわゆるエンハンスメント型トランジスタにしてもよい。 FIG. 4 is a diagram showing a cross-sectional structure of one memory transistor MTr (for example, MTr4mn) in the present embodiment. The other memory transistors MTr1mn to MTr3mn have the same configuration as the memory transistor MTr4mn. In the memory transistor MTr4mn, the conductor layer 13e surrounding the columnar semiconductor 11 via the insulator 12 functions as a control gate electrode. The source 20 and the drain 21 of the memory transistor MTr4 are formed in the columnar semiconductor 11. However, when the memory transistor MTrlmn and the select gate transistors SSTrmn and SDTrmn have a depletion type transistor structure, there may be a case where a clear source / drain diffusion layer is not provided in the semiconductor 11 portion. In addition, in the columnar semiconductor 11, a region surrounded by the conductor layer 13e may be a P-type semiconductor, and a region not surrounded by the conductor layer 13e may be a so-called enhancement type transistor. .

図3及び図4においては、1つのメモリストリングス10について説明したが、本実施形態に係る不揮発性半導体記憶装置1においては、全てのメモリストリングスが同様の構成を有している。 3 and 4, one memory string 10 has been described. However, in the nonvolatile semiconductor memory device 1 according to this embodiment, all the memory strings have the same configuration.

(動作)
まず、本実施形態に係る一つのメモリストリングス10のメモリトランジスタMTr1mn〜MTr4mnにおける「読み出し動作」、「書き込み動作」及び「消去動作」について図3を参照しながら説明する。なお、「読み出し動作」及び「書き込み動作」については、メモリトランジスタMTr3mnを例にとって説明している。
(Operation)
First, “read operation”, “write operation”, and “erase operation” in the memory transistors MTr1mn to MTr4mn of one memory string 10 according to the present embodiment will be described with reference to FIG. The “read operation” and “write operation” have been described by taking the memory transistor MTr3mn as an example.

また、本実施形態におけるメモリトランジスタMTr1mn〜MTr4mnは、半導体11と電荷蓄積層として機能する絶縁膜(酸化珪素膜、窒化珪素膜、酸化珪素膜の積層膜)と導電体層(本実施形態においてはポリシリコン層)とからなる所謂MONOS型縦型トランジスタであり、ここでは、電荷蓄積層に電子が蓄積されていない状態のメモリトランジスタMTrのしきい値Vth(以下「中性しきい値」という)が0V付近にあるとして説明する。 In addition, the memory transistors MTr1mn to MTr4mn in the present embodiment include an insulating film (laminated film of a silicon oxide film, a silicon nitride film, and a silicon oxide film) that functions as a charge storage layer and a semiconductor 11 and a conductor layer (in this embodiment). A threshold voltage Vth (hereinafter referred to as “neutral threshold value”) of the memory transistor MTr in a state where electrons are not accumulated in the charge accumulation layer. Is assumed to be around 0V.

(読み出し動作)
メモリトランジスタMTr3mnからのデータの読み出し時には、ビット線BLmにVbl(例えば0.7V)、ソース線SLに0V、選択ゲート線SGD及びSGSにVdd(例えば3.0V)、P−Well領域にVPW(例えば0V)を印加する。そして、読み出したいビット(MTr3mn)が接続されているワード線WL3を0Vとし、それ以外のワード線WLをVread(例えば、4.5V)に設定する。これにより、読み出したいビット(MTr3mn)のしきい値Vthが0Vより大きいか小さいかで、ビット線BLmに電流が流れるかどうかが決まるため、ビット線BLmの電流をセンスすることによってビット(MTr3mn)のデータ情報を読み出すことが可能となる。なお、同様の動作によって他のビット(メモリトランジスタMTr1mn、MTr2mn、MTr4mn)のデータを読み出すことができる。
(Read operation)
When reading data from the memory transistor MTr3mn, Vbl (for example, 0.7V) is applied to the bit line BLm, 0V is applied to the source line SL, Vdd (for example, 3.0V) is applied to the selection gate lines SGD and SGS, and VPW ( For example, 0V) is applied. Then, the word line WL3 to which the bit (MTr3mn) to be read is connected is set to 0V, and the other word lines WL are set to Vread (for example, 4.5V). Accordingly, whether or not a current flows through the bit line BLm is determined depending on whether the threshold value Vth of the bit (MTr3mn) to be read is larger or smaller than 0 V. Therefore, the bit (MTr3mn) is detected by sensing the current of the bit line BLm. It becomes possible to read the data information. Note that data of other bits (memory transistors MTr1mn, MTr2mn, MTr4mn) can be read out by the same operation.

(書き込み動作)
メモリトランジスタMTr3mnにデータ“0”を書き込む場合、即ち、メモリトランジスタMTr3mnの電荷蓄積層に電子を注入してメモリトランジスタのしきい値を上げる(しきい値を正の方向にシフトさせる)場合は、BLmに0V、ソース線SLにVdd、選択ゲート線SGDnにVdd(例えば3.0V)、選択ゲート線SGSにVoff(例えば0V)、P−Well領域にVPW(例えば0V)を印加し、書き込みたいビット(MTr3)のワード線WL3をVprog(例えば18V)、それ以外のワード線WLをVpass(例えば10V)とすることで、所望ビット(MTr3mn)のみ電荷蓄積層に印加される電界強度が強くなり電荷蓄積層に電子が注入され、メモリトランジスタMTr3mnのしきい値が正の方向にシフトする。
(Write operation)
When writing data “0” in the memory transistor MTr3mn, that is, injecting electrons into the charge storage layer of the memory transistor MTr3mn to increase the threshold value of the memory transistor (shifting the threshold value in the positive direction), I want to write by applying 0V to BLm, Vdd to the source line SL, Vdd (for example, 3.0V) to the selection gate line SGDn, Voff (for example, 0V) to the selection gate line SGS, and VPW (for example, 0V) to the P-Well region. By setting the word line WL3 of the bit (MTr3) to Vprog (for example, 18V) and the other word lines WL to Vpass (for example, 10V), the electric field strength applied to the charge storage layer only for the desired bit (MTr3mn) is increased. Electrons are injected into the charge storage layer, and the threshold value of the memory transistor MTr3mn shifts in the positive direction.

メモリトランジスタMTr3mnにデータ“1”を書き込む場合、即ち、メモリトランジスタMTr3mnの消去状態からしきい値を上げない(電荷蓄積層に電子を注入しない)場合は、ビット線BLmにVddを印加することにより、選択トランジスタSDTrmnのゲート電位とそのソース電位とが同電位になるため、選択トランジスタSDTrmnがoff状態になり、メモリトランジスタMTr3mnのチャネル形成領域(ボディ部)とワード線WL3との間の電位差が低減するため、メモリトランジスタMTr3mnの電荷蓄積層には電子の注入が起こらない。なお、同様の動作によって他のビット(メモリトランジスタMTr1mn、MTr2mn、MTr4mn)へデータを書き込むことができる。 When data “1” is written to the memory transistor MTr3mn, that is, when the threshold value is not increased from the erased state of the memory transistor MTr3mn (electrons are not injected into the charge storage layer), Vdd is applied to the bit line BLm. Since the gate potential of the selection transistor SDTrmn and its source potential are the same, the selection transistor SDTrmn is turned off, and the potential difference between the channel formation region (body portion) of the memory transistor MTr3mn and the word line WL3 is reduced. Therefore, no electron injection occurs in the charge storage layer of the memory transistor MTr3mn. Note that data can be written to other bits (memory transistors MTr1mn, MTr2mn, MTr4mn) by the same operation.

(消去動作)
データの消去時には、複数のメモリストリングス10からなるブロック単位でメモリトランジスタMTr1mn〜MTr4mnのデータの消去を行う。
(Erase operation)
When erasing data, the data in the memory transistors MTr1mn to MTr4mn is erased in units of blocks made up of a plurality of memory strings 10.

選択ブロック(消去したいブロック)において、P−well領域にVerase(例えば20V)を印加し、ソース線SLをフローティングに、そしてP−well領域にVeraseを印加するタイミングと若干時間をずらして(例えば4μsec程度ずらして)、選択ゲート線SGS及びSGDnの電位を上昇(例えば15V)させる。こうすることにより、選択トランジスタSSTrmnのゲート端付近でGIDL(Gate Induced Drain Leak)電流が発生し、生成したホールがメモリトランジスタMTr1mn〜MTr4mnのボディ部である半導体層11内部に流れ、一方、電子がP−well方向に流れる。これにより、メモリトランジスタMTrのチャネル形成領域(ボディ部)にはVeraseに近い電位が伝達するため、ワード線WL1〜WL4を例えば0Vに設定すると、メモリトランジスタMTr1mn〜MTr4mnの電荷蓄積層の電子がP−wellに引き抜かれ、メモリトランジスタMTr1mn〜MTr4mnのデータの消去を行うことができる。 In the selected block (block to be erased), Verase (for example, 20V) is applied to the P-well region, the source line SL is floated, and the timing for applying Verase to the P-well region is slightly shifted (for example, 4 μsec). The potentials of the select gate lines SGS and SGDn are increased (for example, 15 V) with a certain shift. As a result, a GIDL (Gate Induced Drain Leak) current is generated near the gate end of the selection transistor SSTrmn, and the generated holes flow inside the semiconductor layer 11 which is the body part of the memory transistors MTr1mn to MTr4mn, while electrons are generated. It flows in the P-well direction. As a result, a potential close to Verase is transmitted to the channel formation region (body portion) of the memory transistor MTr. Therefore, when the word lines WL1 to WL4 are set to 0 V, for example, electrons in the charge storage layers of the memory transistors MTr1mn to MTr4mn become P The data of the memory transistors MTr1mn to MTr4mn can be erased by being pulled out by -well.

一方、選択ブロックのメモリトランジスタのデータ消去を行うとき、非選択ブロックにおいては、ワード線WL1〜WL4をフローティングとすることにより、メモリトランジスタMTr1mn〜MTr4mnのチャネル形成領域(ボディ部)の電位の上昇とともに、カップリングによってワード線WL1〜WL4の電位が上昇し、ワード線WL1〜WL4とメモリトランジスタMTr1mn〜MTr4mnの電荷蓄積層と間に電位差が生じないため、電荷蓄積層から電子の引き抜き(消去)が行われない。 On the other hand, when erasing data in the memory transistors in the selected block, in the non-selected block, the word lines WL1 to WL4 are set in a floating state to increase the potential of the channel formation regions (body portions) of the memory transistors MTr1mn to MTr4mn. The potential of the word lines WL1 to WL4 rises due to the coupling, and no potential difference is generated between the word lines WL1 to WL4 and the charge storage layers of the memory transistors MTr1mn to MTr4mn, so that electrons are extracted (erased) from the charge storage layer. Not done.

次に、メモリストリングス10を基板面に対して縦横2次元状に配置した本実施形態の不揮発性半導体記憶装置1の「読み出し動作」、「書き込み動作」及び「消去動作」について説明する。図5には、本実施形態に係る本発明の不揮発性半導体記憶装置1の等価回路図を示す。本実施形態の不揮発性半導体記憶装置1は、上述のとおり、各ワード線WL1〜WL4の電位がそれぞれ同電位となっている。また、ここでは、選択ゲート線SGS1〜SGS3は、それぞれ、独立して制御できるようにしているが、選択ゲート線SGS1〜SGS3を同じ導電体層によって形成するなどして同電位にし、それらの電位を制御するようにしてもよい。 Next, the “read operation”, “write operation”, and “erase operation” of the nonvolatile semiconductor memory device 1 of this embodiment in which the memory strings 10 are two-dimensionally arranged in the vertical and horizontal directions with respect to the substrate surface will be described. FIG. 5 shows an equivalent circuit diagram of the nonvolatile semiconductor memory device 1 according to the present embodiment. In the nonvolatile semiconductor memory device 1 of the present embodiment, as described above, the potentials of the word lines WL1 to WL4 are the same. Here, the selection gate lines SGS1 to SGS3 can be controlled independently. However, the selection gate lines SGS1 to SGS3 are made to have the same potential by forming them with the same conductor layer, and their potentials are set. May be controlled.

また、ここでは、点線で示したメモリトランジスタMTr321(ビット線BL2並びに選択ゲート線SGS1及びSGD1に接続されているメモリストリングスのMTr3)における「読み出し動作」及び「書き込み動作」について説明する。また、メモリトランジスタの「消去動作」についても説明する。 Here, the “read operation” and the “write operation” in the memory transistor MTr321 (the bit line BL2 and the memory string MTr3 connected to the selection gate lines SGS1 and SGD1) indicated by dotted lines will be described. The “erasing operation” of the memory transistor will also be described.

(読み出し動作)
図6は、本実施形態に係る本発明の不揮発性半導体記憶装置1において、点線で示したメモリトランジスタMTr321のデータの読み出し動作を行う場合のバイアス状態を示した図である。ここでも、本実施形態におけるメモリトランジスタMTrは、半導体11と電荷蓄積層として機能する絶縁膜(酸化珪素膜、窒化珪素膜、酸化珪素膜の積層膜)と導電体層(本実施形態においてはポリシリコン層)とからなる所謂MONOS型縦型トランジスタであり、電荷蓄積層に電子が蓄積されていない状態のメモリトランジスタMTrのしきい値Vth(中性しきい値)が0V付近にあるとして説明する。
(Read operation)
FIG. 6 is a diagram showing a bias state when the data read operation of the memory transistor MTr321 indicated by the dotted line is performed in the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment. Also in this embodiment, the memory transistor MTr in this embodiment includes the semiconductor 11 and an insulating film functioning as a charge storage layer (a laminated film of a silicon oxide film, a silicon nitride film, and a silicon oxide film) and a conductor layer (in this embodiment, a polycrystal). It is assumed that the threshold value Vth (neutral threshold value) of the memory transistor MTr in a state where electrons are not accumulated in the charge accumulation layer is in the vicinity of 0V. .

メモリトランジスタMTr321からのデータの読み出し時には、メモリトランジスタMTr321が接続されているビット線BL2にVbl(例えば0.7V)、それ以外のビット線BLに0V、ソース線SLに0V、メモリトランジスタMTr321が接続されている選択ゲート線SGD1及びSGS1にVdd(例えば3.0V)、それ以外の選択ゲート線SGD及びSGSにVoff(例えば0V)、P−well領域にVPW(例えば0V。但し、VPWは、P−well領域とメモリストリングスが順バイアスになっていなければ如何なる電位でもよい。)を印加する。そして、読み出したいビット(MTr321)が接続されているワード線WL3を0Vとし、それ以外のワード線WLをVread(例えば、4.5V)に設定する。これにより、データを読み出すビット(MTr321)のビット線BL2とソース線SL間に電位差が生じ、且つ、選択ゲート線SGD1がオンしている状態となっているため、読み出したいビット(MTr321)のしきい値Vthが0Vより大きいか小さいかで、ビット線BL2に電流が流れるかどうかが決まるため、ビット線BL2の電流をセンスすることによってビット(MTr321)のデータ情報を読み出すことが可能となる。なお、同様の動作によって他のビット(メモリトランジスタMTrlmn)のデータを読み出すことができる。このとき、例えば、メモリトランジスタMTr322は、そのしきい値Vthが何れの値であっても、即ちメモリトランジスタMTr322に“1”が書き込まれていても“0”が書き込まれていても、SGD2がVoffとなっている為、メモリトランジスタMTr322およびMTr322が属しているメモリストリングス10に電流が流れることがない。このは、ビット線BL2に接続されているメモリストリングス10であって、選択ゲート線SGD1に接続されていない全てのメモリストリングス10において同様である。 When reading data from the memory transistor MTr321, Vbl (for example, 0.7V) is connected to the bit line BL2 to which the memory transistor MTr321 is connected, 0V to the other bit line BL, 0V to the source line SL, and the memory transistor MTr321 is connected. Vdd (for example, 3.0 V) is applied to the selected gate lines SGD1 and SGS1, Voff (for example, 0 V) is applied to the other selection gate lines SGD and SGS, and VPW (for example, 0 V is applied to the P-well region, where VPW is P -Well region and memory strings may be any potential as long as they are not forward biased. Then, the word line WL3 to which the bit (MTr321) to be read is connected is set to 0V, and the other word lines WL are set to Vread (for example, 4.5V). As a result, a potential difference is generated between the bit line BL2 and the source line SL of the bit (MTr321) for reading data, and the selection gate line SGD1 is turned on. Whether the current flows through the bit line BL2 is determined depending on whether the threshold value Vth is larger or smaller than 0 V. Therefore, the data information of the bit (MTr321) can be read by sensing the current of the bit line BL2. Note that data of other bits (memory transistor MTrlmn) can be read by the same operation. At this time, for example, the memory transistor MTr322 has the SGD2 regardless of the threshold value Vth, that is, whether the memory transistor MTr322 is written with “1” or “0”. Since it is Voff, no current flows through the memory string 10 to which the memory transistors MTr322 and MTr322 belong. This is the same in all the memory strings 10 connected to the bit line BL2 and not connected to the selection gate line SGD1.

また、例えばメモリトランジスタMTr331を例にとって説明すると、MTr331が属するメモリストリングス10の場合、メモリトランジスタMTr331のしきい値Vthが如何なる値であっても、即ち“1”が書き込まれていても“0”が書き込まれていても、ビット線BL3が0Vでありソース線SLと同電位の為、ビット線BL3に電流が流れることはない。このことは、ビット線BL2に接続されていない全てのメモリストリングス10おいて共通である。 Further, for example, the memory transistor MTr331 is described as an example. In the case of the memory string 10 to which the MTr331 belongs, even if the threshold value Vth of the memory transistor MTr331 is any value, that is, “1” is written, “0”. Even if is written, since the bit line BL3 is 0 V and has the same potential as the source line SL, no current flows through the bit line BL3. This is common to all memory strings 10 not connected to the bit line BL2.

以上より、本実施形態に係る本発明の不揮発性半導体記憶装置1においては、ワード線WL1〜WL4をそれぞれ共通電位で駆動し、且つ選択ゲート線SGS1〜SGS3を共通電位で駆動させても、任意のビットのしきい値のデータを読むことが可能となる。 As described above, in the nonvolatile semiconductor memory device 1 according to the present embodiment, the word lines WL1 to WL4 are each driven with a common potential, and the selection gate lines SGS1 to SGS3 are driven with a common potential. It becomes possible to read the threshold value data of the bits.

(書き込み動作)
図7は、本実施形態に係る本発明の不揮発性半導体記憶装置1において、点線で示したメモリトランジスタMTr321のデータの書き込み動作を行う場合のバイアス状態を示した図である。
(Write operation)
FIG. 7 is a diagram showing a bias state when the data write operation of the memory transistor MTr321 indicated by a dotted line is performed in the nonvolatile semiconductor memory device 1 according to the present embodiment.

メモリトランジスタMTr3にデータ“0”を書き込む場合、即ち、メモリトランジスタMTr321の電荷蓄積層に電子を注入してメモリトランジスタのしきい値を上げる(しきい値を正の方向にシフトさせる)場合は、メモリトランジスタMTr321が接続されているビット線BL2に0V、それ以外のビット線BLにVdd、ソース線SLにVdd、メモリトランジスタMTr321が接続されている選択ゲート線SGD1にVdd、それ以外の選択ゲート線SGDにVoff、選択ゲート線SGS1〜SGS3にVoff、P−Well領域にVPW(例えば0V)を印加し、書き込みたいビット(MTr321)のワード線WL3をVprog(例えば18V)、それ以外のワード線WLをVpass(例えば10V)とすることで、MTr321が属するメモリストリングス10において、ソース側選択ゲート線SGS1が接続されている選択ゲートトランジスタSSTr21を除く全てのメモリトランジスタMTr121、MTr221、MTr321及びMTr421にチャネルが形成され、ビット線BL2の電位(0V)がチャネルに伝播される。このため、所望ビット(MTr321)のワードラインと柱状半導体の間に存在する電荷蓄積層を含むONO膜に印加される電界強度が強くなり、電荷蓄積層に電子が注入され、メモリトランジスタMTr321のしきい値が正の方向にシフトする。 When writing data “0” to the memory transistor MTr3, that is, when increasing the threshold value of the memory transistor by injecting electrons into the charge storage layer of the memory transistor MTr321 (shifting the threshold value in the positive direction), The bit line BL2 to which the memory transistor MTr321 is connected is 0V, the other bit lines BL are Vdd, the source line SL is Vdd, the selection gate line SGD1 to which the memory transistor MTr321 is connected is Vdd, and the other selection gate lines Voff is applied to SGD, Voff is applied to the selection gate lines SGS1 to SGS3, VPW (for example, 0V) is applied to the P-well region, the word line WL3 of the bit (MTr321) to be written is set to Vprog (for example, 18V), and other word lines WL Is set to Vpass (for example, 10V), the memo to which MTr321 belongs In the wrist ring 10, channels are formed in all the memory transistors MTr121, MTr221, MTr321, and MTr421 except the selection gate transistor SSTr21 to which the source side selection gate line SGS1 is connected, and the potential (0 V) of the bit line BL2 is set to the channel. Propagated. For this reason, the electric field strength applied to the ONO film including the charge storage layer existing between the word line of the desired bit (MTr321) and the columnar semiconductor is increased, and electrons are injected into the charge storage layer, so that the memory transistor MTr321 operates. The threshold shifts in the positive direction.

このとき、例えば、メモリトランジスタMTr322に置いては、ソース側選択ゲート線SGD2にはVoffが印加されているため、ビット線BL2の電位がメモリトランジスタMTr322のチャネル部に伝播されることがなく、メモリトランジスタTr322には電子の注入が起こらない。このことは、BL2に接続されているメモリストリングス10であって、メモリトランジスタMTr321が属していない全てのメモリストリングス10において同様である。 At this time, for example, in the memory transistor MTr322, since Voff is applied to the source side selection gate line SGD2, the potential of the bit line BL2 is not propagated to the channel portion of the memory transistor MTr322, and the memory Electron injection does not occur in the transistor Tr322. This is the same in all memory strings 10 connected to BL2 and not including the memory transistor MTr321.

また、例えば、メモリトランジスタMTr331においては、MTr331が属するメモリストリングス10において、選択ゲート線SGD1が接続されている選択トランジスタSDTr31のソース側電位がVddとなりビット線BL3の電位もVddとなっているため、選択トランジスタSDTr31のソースとゲートの電位が同電位となる。よって、選択トランジスタSDTr31はオンせず、メモリトランジスタMTr331のチャネル部には外部電位が伝播されないため、電子注入が起こらない。このことは、BL2に接続されていない全てのメモリストリングス10において同様である。 For example, in the memory transistor MTr331, in the memory string 10 to which the MTr331 belongs, the source side potential of the selection transistor SDTr31 to which the selection gate line SGD1 is connected is Vdd, and the potential of the bit line BL3 is also Vdd. The potentials of the source and gate of the selection transistor SDTr31 are the same. Therefore, the selection transistor SDTr31 is not turned on, and no external potential is propagated to the channel portion of the memory transistor MTr331, so that electron injection does not occur. This is the same in all memory strings 10 not connected to BL2.

メモリトランジスタMTr321にデータ“1”を書き込む場合、即ち、メモリトランジスタMTr321の消去状態からしきい値を上げない(電荷蓄積層に電子を注入しない)場合は、ビット線BL2にVddを印加することにより、選択トランジスタSDTr21のゲート電位とソース電位とが同電位になるため、選択トランジスタSDTr21がoff状態になり、メモリトランジスタMTr3のチャネル形成領域(ボディ部)とワード線WL3との間の電位差が低減するため、メモリトランジスタMTr321の電荷蓄積層には電子の注入が起こらない。なお、同様の動作によって他のビット(メモリトランジスタTrlmn、図7に示す例においては、lは1〜4、mは1〜3、nは1〜3)のデータを書き込むことができる。 When data “1” is written to the memory transistor MTr321, that is, when the threshold value is not increased from the erased state of the memory transistor MTr321 (electrons are not injected into the charge storage layer), Vdd is applied to the bit line BL2. Since the gate potential and the source potential of the selection transistor SDTr21 become the same potential, the selection transistor SDTr21 is turned off, and the potential difference between the channel formation region (body part) of the memory transistor MTr3 and the word line WL3 is reduced. Therefore, electrons are not injected into the charge storage layer of the memory transistor MTr321. The same other bits by the operation (memory transistor M Trlmn, in the example shown in FIG. 7, l is 1 to 4, m is 1-3, n is 1-3) can write the data.

また、各ビット線BLの電位を適切に0VかVddに設定することで、ある選択ゲート線SGDによって選択された共通のワード線WL上のビット(MTr)に同時に書き込み、即ちページ書き込みを行うことが可能となる。 In addition, by appropriately setting the potential of each bit line BL to 0 V or Vdd, simultaneous writing to a bit (MTr) on a common word line WL selected by a certain selection gate line SGD, that is, page writing is performed. Is possible.

(消去動作)
データの消去時には、複数のメモリストリングスからなるブロック単位でメモリトランジスタMTrのデータの消去を行う。図8は、本実施形態に係る本発明の不揮発性半導体記憶装置1において、選択したブロックのメモリトランジスタMTrのデータの消去動作を行う場合のバイアス状態を示した図である。
(Erase operation)
At the time of erasing data, the data in the memory transistor MTr is erased in units of blocks composed of a plurality of memory strings. FIG. 8 is a diagram showing a bias state when the data erasing operation of the memory transistor MTr in the selected block is performed in the nonvolatile semiconductor memory device 1 according to the present embodiment.

選択ブロック(消去したいブロック)において、P−well領域にVerase(例えば20V)を印加し、ソース線SLをフローティングに、そしてP−well領域にVeraseを印加するタイミングと若干時間をずらして(例えば4μsec程度ずらして)、選択ゲート線SGS及びSGDの電位を上昇(例えば15V)させる。こうすることにより、選択トランジスタSSTrのゲート端付近でGIDL(Gate Induced Drain Leak)電流が発生し、生成したホールがメモリトランジスタMTrのボディ部である半導体層11内部に流れ、一方、電子がP−well方向に流れる。これにより、メモリトランジスタMTrのチャネル形成領域(ボディ部)にはVeraseに近い電位が伝達するため、ワード線WL1〜WL4を例えば0Vに設定すると、メモリトランジスタMTrの電荷蓄積層の電子がP−wellに引き抜きが行われ、データの消去を行うことができる。 In the selected block (block to be erased), Verase (for example, 20V) is applied to the P-well region, the source line SL is floated, and the timing for applying Verase to the P-well region is slightly shifted (for example, 4 μsec). The potentials of the select gate lines SGS and SGD are increased (for example, 15 V) with a certain shift. By doing so, a GIDL (Gate Induced Drain Leak) current is generated near the gate end of the selection transistor SSTr, and the generated holes flow into the semiconductor layer 11 which is the body part of the memory transistor MTr, while the electrons are P− It flows in the well direction. As a result, a potential close to Verase is transmitted to the channel formation region (body portion) of the memory transistor MTr. Therefore, when the word lines WL1 to WL4 are set to 0 V, for example, electrons in the charge storage layer of the memory transistor MTr become P-well. The data can be erased and data can be erased.

一方、選択ブロックのメモリトランジスタのデータ消去を行うとき、非選択ブロックにおいては、ワード線WL1〜WL4をフローティングとすることにより、メモリトランジスタMTr1〜MTr4のチャネル形成領域(ボディ部)の電位の上昇とともに、カップリングによってワード線WL1〜WL4の電位が上昇し、ワード線WL1〜WL4とメモリトランジスタMTr1〜MTr4の電荷蓄積層と間に電位差が生じないため、電荷蓄積層から電子の引き抜き(消去)が行われない。 On the other hand, when erasing data of the memory transistors in the selected block, in the non-selected block, the word lines WL1 to WL4 are floated to increase the potential of the channel formation regions (body portions) of the memory transistors MTr1 to MTr4. The potential of the word lines WL1 to WL4 rises due to the coupling, and no potential difference is generated between the word lines WL1 to WL4 and the charge storage layers of the memory transistors MTr1 to MTr4, so that electrons are extracted (erased) from the charge storage layer. Not done.

ここで、本実施形態に係る本発明の不揮発性半導体記憶装置1の「読み出し動作」、「書き込み動作」及び「消去動作」における電位の関係を纏めたものを表1に示す。
Here, Table 1 shows a summary of potential relationships in the “read operation”, “write operation”, and “erase operation” of the nonvolatile semiconductor memory device 1 according to the present embodiment.

(消去動作シミュレーション)
本実施形態に係る本発明の不揮発性半導体記憶装置1の消去動作シミュレーションの設定条件及び結果を図10〜図13に示す。
(Erase operation simulation)
10 to 13 show setting conditions and results of the erase operation simulation of the nonvolatile semiconductor memory device 1 according to the present embodiment.

図10(A)は、本実施形態に係る本発明の不揮発性半導体記憶装置の一つのメモリストリングスの消去動作のシミュレーションの条件設定を示す図である。また、図10(B)は、図10(A)の条件設定に基づくメモリストリングスの構造を示す。図10(A)及び(B)においては、P−wellの不純物濃度は1E19cm−3、ソース線SLの不純物濃度は5E19cm−3、柱状の半導体層(ボディ)の直径及び不純物濃度はそれぞれ19nm、1E15cm−3、ビット線BLの不純物濃度は1E19cm−3(下層部)、5E19cm−3(上層部)、ワード線WLの厚さは50nm、各ワード線WL間の距離は25nm、選択ゲート線SGSのポリシリコンの厚さは100nm、柱状の半導体層が埋め込まれるホール(以下「メモリプラグホール」と言う場合がある。)の直径は35nm、電荷蓄積層FGの厚さは16nmとした。(ただし、シミュレーションではFGの電位はフローティングではなく、ワード線電位VCGである)。なお、データの消去時にP−wellに印加する電圧Veraseを20Vまで上昇させ、ビット線BLに印加する電圧Vddを20Vまで上昇させ、選択ゲート線SGDに印加される電圧VSGを15Vまで上昇させ、ワード線に印加する電圧VCGを0Vとした。 FIG. 10A is a diagram showing the condition settings for the simulation of the erase operation of one memory string of the nonvolatile semiconductor memory device of the present invention according to this embodiment. FIG. 10B shows the structure of the memory string based on the condition setting of FIG. 10A and 10B, the impurity concentration of P-well is 1E19 cm −3 , the impurity concentration of the source line SL is 5E19 cm −3 , the diameter and impurity concentration of the columnar semiconductor layer (body) are 19 nm, respectively. 1E15 cm −3 , the impurity concentration of the bit line BL is 1E19 cm −3 (lower layer part), 5E19 cm −3 (upper layer part), the thickness of the word line WL is 50 nm, the distance between the word lines WL is 25 nm, and the selection gate line SGS The thickness of the polysilicon is 100 nm, the diameter of the hole in which the columnar semiconductor layer is embedded (hereinafter sometimes referred to as “memory plug hole”) is 35 nm, and the thickness of the charge storage layer FG is 16 nm. (However, in the simulation, the potential of FG is not floating, but is the word line potential VCG). Note that the voltage Verase applied to the P-well at the time of erasing data is increased to 20V, the voltage Vdd applied to the bit line BL is increased to 20V, the voltage VSG applied to the selection gate line SGD is increased to 15V, The voltage VCG applied to the word line was set to 0V.

図12及び図13に、図10に示すシミュレーション条件に基づく計算結果を示す。図12は、電位の変化を示し、図13はホール濃度を示している。P−wellの電圧を上昇していくと、柱状の半導体層(ボディ)の電位(potential)が少し遅れて上がり始め、それに伴って柱状の半導体層(ボディ)のホール濃度も上昇している。これは、SGSゲート端部でGIDL電流が発生し柱状の半導体層(ボディ)にホールが注入され、電位が伝播することにより、柱状半導体層(ボディ)とワードライン間に電界が掛かり消去が可能となることを示している。 12 and 13 show calculation results based on the simulation conditions shown in FIG. FIG. 12 shows the change in potential, and FIG. 13 shows the hole concentration. As the P-well voltage increases, the potential of the columnar semiconductor layer (body) begins to increase slightly later, and the hole concentration of the columnar semiconductor layer (body) also increases accordingly. This is because a GIDL current is generated at the edge of the SGS gate, holes are injected into the columnar semiconductor layer (body), and the electric potential propagates, so that an electric field is applied between the columnar semiconductor layer (body) and the word line and erasing is possible. It shows that it becomes.

ここで、本実施形態に係る本発明の不揮発性半導体記憶装置において、消去動作を実現するための構造の例を図14から図16に示す。 Here, in the nonvolatile semiconductor memory device of the present invention according to this embodiment, examples of structures for realizing the erasing operation are shown in FIGS.

図14においては、ソース側選択ゲート線SGSに接続されている選択ゲートトランジスタSSTrの柱状の半導体層(ボディ)の濃度を高くした例である。こうすることにより、GIDLを増加させることができるため、消去動作に必要十分なホールを供給することができる。図14は前述のシミュレーションで示したGIDL電流を用いた消去方法が実現可能な構造である。尚、GIDLを利用しない消去方法でも本発明の実施形態を実現可能である。その例を図15と図16に示す。 FIG. 14 shows an example in which the concentration of the columnar semiconductor layer (body) of the selection gate transistor SSTr connected to the source side selection gate line SGS is increased. By doing so, GIDL can be increased, so that holes necessary and sufficient for the erase operation can be supplied. FIG. 14 shows a structure capable of realizing the erasing method using the GIDL current shown in the above simulation. Note that the embodiment of the present invention can also be realized by an erasing method that does not use GIDL. Examples thereof are shown in FIGS. 15 and 16.

図15においては、柱状の半導体層(ボディ)と半導体基板のP−well領域とを直接接続した例である。この場合、ホールがP−wellから直接注入され得る。また、ソース線SLと柱状の半導体層(ボディ)とのコンタクトも必要なため、柱状の半導体層(ボディ)とn+拡散領域とがオーバーラップ構造であることを必要とする。 FIG. 15 shows an example in which a columnar semiconductor layer (body) and a P-well region of a semiconductor substrate are directly connected. In this case, holes can be injected directly from the P-well. In addition, since the contact between the source line SL and the columnar semiconductor layer (body) is also necessary, the columnar semiconductor layer (body) and the n + diffusion region need to have an overlap structure.

図16においては、基板のPドープポリシリコン層から柱状の半導体層にホールを直接注入する方式を示した。n+拡散領域上にp型ポリシリコンからなるコンタクト層を形成し、柱状の半導体層(ボディ)がn+拡散領域及びp型ポリシリコンからなるコンタクト層とコンタクトをとる構成である。 FIG. 16 shows a method in which holes are directly injected from the P-doped polysilicon layer of the substrate into the columnar semiconductor layer. A contact layer made of p-type polysilicon is formed on the n + diffusion region, and a columnar semiconductor layer (body) is in contact with the contact layer made of the n + diffusion region and p-type polysilicon.

図14〜図16の何れの構造であっても、本実施形態に係る本発明の不揮発性半導体記憶装置の消去動作を実現することができる。なお、本実施形態において説明した構造は、本発明の不揮発性半導体記憶装置の一例であって、これらの構造に限定されるわけではない。 14 to 16, the erasing operation of the nonvolatile semiconductor memory device of the present invention according to the present embodiment can be realized. The structure described in this embodiment is an example of the nonvolatile semiconductor memory device of the present invention, and is not limited to these structures.

(製造方法)
本実施形態に係る本発明の不揮発性半導体記憶装置1の鳥瞰図を図17に示す。本実施形態に係る本発明の不揮発性半導体記憶装置1は、半導体基板上にメモリトランジスタが積層された構造を有している。メモリトランジスタが積層されている領域(メモリトランジスタ領域)においては、メモリトランジスタの積層数に関係なく、5回のフォトエッチングプロセス(3回のクリティカルPEP、及び2回のラフPEP)によって製造することが可能である。
(Production method)
FIG. 17 shows a bird's-eye view of the nonvolatile semiconductor memory device 1 according to the present embodiment. The nonvolatile semiconductor memory device 1 according to the present embodiment has a structure in which memory transistors are stacked on a semiconductor substrate. In a region where memory transistors are stacked (memory transistor region), it can be manufactured by five photoetching processes (three critical PEPs and two rough PEPs) regardless of the number of stacked memory transistors. Is possible.

図17に示すように、各ワード線WL1〜WL4がそれぞれ板状で階段状の構造を有している。各ワード線WL1〜WL4がそれぞれ板状で階段状の構造を有しているため、各ワード線WL1〜WL4の側端部には、段差が発生する。その段差を利用することにより、同一フォトエッチングプロセスによりワード線ドライバと各ワード線WL1〜WL4とを接続するためのコンタクトホールを加工することができる。また、前記フォトエッチングプロセスによって同時に形成されたコンタクトホールを用いて、ビット線BLはセンスアンプに、選択ゲート線SGDは選択ゲート線SGDドライバに接続されている。 As shown in FIG. 17, each of the word lines WL1 to WL4 has a plate-like and step-like structure. Since each of the word lines WL1 to WL4 has a plate-like and stepped structure, a step is generated at the side end of each of the word lines WL1 to WL4. By utilizing the steps, contact holes for connecting the word line driver and the word lines WL1 to WL4 can be processed by the same photoetching process. Further, the bit line BL is connected to the sense amplifier and the selection gate line SGD is connected to the selection gate line SGD driver using the contact holes formed simultaneously by the photoetching process.

本発明の一実施形態に係る不揮発性半導体記憶装置は、メモリトランジスタの積層数に応じた積層膜をあらかじめ堆積しておき、ホールパターンを同時に形成することにより、直列に接続された複数の縦型トランジスタを1PEPで形成することができる。 A non-volatile semiconductor storage device according to an embodiment of the present invention includes a plurality of vertical types connected in series by depositing a stacked film corresponding to the number of stacked memory transistors in advance and simultaneously forming a hole pattern. Transistors can be formed with 1 PEP.

また、本実施形態に係る本発明の不揮発性半導体記憶装置1においては、直列に接続された複数の縦型トランジスタを動作させるためには、その上下に選択ゲートを接続する必要がある。その選択ゲートと直列に接続された複数の縦型トランジスタとの直列構造の形成についても、1又は2のPEP(メモリトランジスタ形成ホールPEP)と同時に行うことが可能である。 Further, in the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment, in order to operate a plurality of vertical transistors connected in series, it is necessary to connect selection gates above and below them. The formation of a series structure with a plurality of vertical transistors connected in series with the selection gate can also be performed simultaneously with one or two PEPs (memory transistor formation holes PEP).

さらに、直列に接続された複数の縦型トランジスタのソース側の選択ゲート線SGS及び各メモリトランジスタのワード線WLは、動作上、常に各層毎に共通電位とすることが可能である。よって、選択ゲート線SGS及びワード線WLには、いずれも板状構造を採用することができる。このことにより、ワード線は、ラフPEPによって形成することが可能で、製造プロセスが簡略化され、コスト低減を実現することができる。 Further, the source-side selection gate line SGS of each of the plurality of vertical transistors connected in series and the word line WL of each memory transistor can always have a common potential for each layer in operation. Therefore, both the select gate line SGS and the word line WL can adopt a plate-like structure. As a result, the word line can be formed by rough PEP, the manufacturing process is simplified, and the cost can be reduced.

図18〜図44を用いて本実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスについて説明する。図18〜図44においては、左側にワード線ドライバ回路やセンスアンプ回路等の周辺回路が形成される周辺回路領域を示し、右側にメモリトランジスタ領域を示す。また、メモリトランジスタ領域においては、図17に示す本実施形態に係る本発明の不揮発性半導体記憶装置1の領域Aの部分、領域Bの部分、X−X’及びY−Y’の断面に相当する部分を図示している。 A manufacturing process of the nonvolatile semiconductor memory device 1 according to the present embodiment will be described with reference to FIGS. 18 to 44, a peripheral circuit region in which peripheral circuits such as a word line driver circuit and a sense amplifier circuit are formed is shown on the left side, and a memory transistor region is shown on the right side. Further, in the memory transistor region, it corresponds to a region A portion, a region B portion, and a cross section of XX ′ and YY ′ of the nonvolatile semiconductor memory device 1 according to the present embodiment shown in FIG. The part to perform is shown in figure.

まず、図18を参照する。半導体基板1上に薄い酸化珪素膜(SiO2)を形成し(図示せず)、続いて、窒化珪素膜(Si3N4)を堆積し(図示せず)、STI(Sharrow Trench Isolation)を形成する領域102a、102b、102c、102d、102eにドライエッチング法で300nm程度の浅い溝を形成する。その後、熱CVD法又はプラズマCVD法によって酸化珪素膜を堆積し、酸化珪素膜で溝を完全に埋め込み、溝以外の部分の酸化珪素膜を化学機械的研磨(CMP)により除去することにより、STI(Sharrow Trench Isolation)102a、102b、102c、102d、102eを形成する(図18)。その後、残存している窒化珪素膜を熱リン酸等で除去する。 First, referring to FIG. A thin silicon oxide film (SiO 2 ) is formed on the semiconductor substrate 1 (not shown), then a silicon nitride film (Si 3 N 4 ) is deposited (not shown), and STI (Shallow Trench Isolation) is performed. A shallow groove of about 300 nm is formed by dry etching in the regions 102a, 102b, 102c, 102d, and 102e to be formed. Thereafter, a silicon oxide film is deposited by a thermal CVD method or a plasma CVD method, the groove is completely filled with the silicon oxide film, and the silicon oxide film in portions other than the groove is removed by chemical mechanical polishing (CMP). (Sharrow Trench Isolation) 102a, 102b, 102c, 102d, and 102e are formed (FIG. 18). Thereafter, the remaining silicon nitride film is removed with hot phosphoric acid or the like.

次に、基板表面を犠牲酸化し(図示せず)、所望の領域を開口するフォトレジストパタンを形成し、ボロン(B)イオンを注入し、P−well領域104を形成したのちレジストを除去する(図19)。次に、所望の領域を開口するフォトレジストパタンを形成し基板100の表面付近にボロン(B)イオンを注入し、トランジスタのしきい値Vthを調整するチャネルインプラ領域106a及び106bを形成する。なお、ここでは、周辺回路領域に形成するトランジスタは、Nチャネル型トランジスタの例を示しているが、所望の領域にN型を付与するイオンを注入することにより、N−well領域を形成し、Pチャネル型トランジスタを形成している(図示せず)。 Next, the substrate surface is sacrificial oxidized (not shown), a photoresist pattern opening a desired region is formed, boron (B) ions are implanted, the P-well region 104 is formed, and then the resist is removed. (FIG. 19). Next, a photoresist pattern that opens a desired region is formed, and boron (B) ions are implanted near the surface of the substrate 100 to form channel implantation regions 106a and 106b for adjusting the threshold voltage Vth of the transistor. Note that here, the transistor formed in the peripheral circuit region is an example of an N-channel transistor, but an N-well region is formed by implanting ions imparting N-type into a desired region. A P-channel transistor is formed (not shown).

次に、メモリトランジスタ領域のみ開口するフォトレジストパタンを形成し、メモリセルトランジスタ領域にのみリン(P)イオンを注入し、n拡散領域107を形成する(図20)。このn拡散領域106cは、ソース線SLとなる。 Next, a photoresist pattern that opens only in the memory transistor region is formed, and phosphorus (P) ions are implanted only in the memory cell transistor region to form an n + diffusion region 107 (FIG. 20). The n + diffusion region 106c becomes the source line SL.

次に、犠牲酸化膜(図示せず)を除去し、第1のゲート絶縁膜(図示せず)を形成する。 Next, the sacrificial oxide film (not shown) is removed, and a first gate insulating film (not shown) is formed.

次に、所望のパターンにフォトレジストマスク108a及び108bを形成して、ウェットエッチングすることにより、所望の位置の第1のゲート絶縁膜並びにSTI102a及び102bの一部をエッチング除去する(図21)。この領域に高速動作用の薄膜ゲートトランジスタを形成し、ウェットエッチングに晒されていない領域に高耐圧用の厚膜ゲートトランジスタを形成することになる。 Next, photoresist masks 108a and 108b are formed in a desired pattern, and wet etching is performed to remove a part of the first gate insulating film and STIs 102a and 102b at desired positions (FIG. 21). A thin film gate transistor for high speed operation is formed in this region, and a thick film gate transistor for high withstand voltage is formed in a region not exposed to wet etching.

次に、フォトレジストマスク108a及び108bを除去し、第2のゲート絶縁膜(図示せず)を形成する。そして、基板上にP(リン)等の導電型不純物を添加したポリシリコン(p−Si)膜110を形成する(図22)。そして、ポリシリコン膜110を所定のパターンにエッチングし、周辺回路領域のトランジスタのゲート電極を110a及び110bを形成する(図23)。次に、周辺回路領域のPチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のNチャネル型トランジスタの領域にPイオン又はAsイオンなどを注入し、ゲート電極110a及び110bと自己整合的に浅いN型領域112a、112b、112c及び112dを形成し(図23)、その後、フォトレジストを除去する。 Next, the photoresist masks 108a and 108b are removed, and a second gate insulating film (not shown) is formed. Then, a polysilicon (p-Si) film 110 to which a conductive impurity such as P (phosphorus) is added is formed on the substrate (FIG. 22). Then, the polysilicon film 110 is etched into a predetermined pattern to form the gate electrodes 110a and 110b of the transistors in the peripheral circuit region (FIG. 23). Next, a photoresist is formed in the P-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), and P ions or As ions are implanted into the N-channel transistor region in the peripheral circuit region. N-type regions 112a, 112b, 112c and 112d which are shallow in a self-aligning manner with gate electrodes 110a and 110b are formed (FIG. 23), and then the photoresist is removed.

次に、周辺回路領域のNチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のPチャネル型トランジスタの領域にBイオンなどを注入し、ゲート電極(図示せず)と自己整合的に浅いP型領域(図示せず)を形成し、その後、フォトレジストを除去する。 Next, a photoresist is formed in the N channel transistor region and the memory transistor region in the peripheral circuit region (not shown), B ions are implanted into the P channel transistor region in the peripheral circuit region, and the gate electrode ( A shallow P-type region (not shown) is formed in a self-aligned manner with the photoresist (not shown), and then the photoresist is removed.

次に、基板全面に窒化珪素膜を形成し、異方性エッチングすることにより、ゲート電極110a及び110bの両端部のみ窒化珪素膜を残し、サイドウォール114a、114b、114c及び114dを形成する(図24)。 Next, a silicon nitride film is formed over the entire surface of the substrate, and anisotropic etching is performed to leave the silicon nitride film only at both ends of the gate electrodes 110a and 110b, thereby forming sidewalls 114a, 114b, 114c, and 114d (FIG. 24).

次に、周辺回路領域のPチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のNチャネル型トランジスタの領域に砒素(As)イオンを注入し、サイドウォール114a、114b、114c及び114dと自己整合的にソース/ドレイン領域116a、116b、116c及び116dを形成し(図25)、その後、フォトレジストを除去する。 Next, a photoresist is formed in the P-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), arsenic (As) ions are implanted into the N-channel transistor region in the peripheral circuit region, and the side Source / drain regions 116a, 116b, 116c and 116d are formed in self-alignment with the walls 114a, 114b, 114c and 114d (FIG. 25), and then the photoresist is removed.

次に、周辺回路領域のNチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のPチャネル型トランジスタの領域にBイオンを注入し、サイドウォール(図示せず)と自己整合的にソース/ドレイン領域(図示せず)を形成し、その後、フォトレジストを除去する。 Next, a photoresist is formed on the N-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), B ions are implanted into the P-channel transistor region in the peripheral circuit region, and the sidewall (see FIG. Source / drain regions (not shown) are formed in a self-aligned manner with the photoresist (not shown), and then the photoresist is removed.

次に、基板全面に窒化珪素膜(バリア窒化珪素膜)118を形成する(図25)。 Next, a silicon nitride film (barrier silicon nitride film) 118 is formed on the entire surface of the substrate (FIG. 25).

次に、基板全面にBPSG(Boron Phosopho Silicate Glass)膜120を形成し、CMP処理することにより、BPSG膜120を平坦化する(図26)。そして、スパッタリング法により基板全面にコバルト(Co)膜を形成し、加熱処理を行うことにより、コバルトシリサイド(CoSi)122a及び122bを形成する(図26)。その後、不要なCoを除去する。ここで、ゲート電極にはコバルトシリサイドを形成しても良いし、別の金属を用いたシリサイド(Ti、Niなど)を形成しても良い。さらに全くシリサイドを形成しなくても良い。またこの場合、ゲート電極のポリシリコン110を堆積する時にポリシリコンの上にタングステンシリサイド及びSiNを連続性膜したのちゲートの加工及びトランジスタの形成を行っても良い。 Next, a BPSG (Boron Phospho Silicate Glass) film 120 is formed on the entire surface of the substrate, and the BPSG film 120 is flattened by CMP (FIG. 26). Then, a cobalt (Co) film is formed on the entire surface of the substrate by sputtering, and heat treatment is performed to form cobalt silicide (CoSi 2 ) 122a and 122b (FIG. 26). Thereafter, unnecessary Co is removed. Here, cobalt silicide may be formed on the gate electrode, or silicide (Ti, Ni, etc.) using another metal may be formed. Furthermore, no silicide may be formed. In this case, when depositing the polysilicon 110 of the gate electrode, tungsten silicide and SiN may be continuously formed on the polysilicon, and then the gate may be processed and the transistor may be formed.

次に、基板全面にBPSG膜124を形成する(図27)。 Next, a BPSG film 124 is formed on the entire surface of the substrate (FIG. 27).

次に、基板全面にP(リン)等の導電型不純物を添加したポリシリコン膜126及び窒化珪素膜128を形成する(図28)。その後、フォトレジスト工程によりホール(以下「トランジスタプラグホール」と言う場合がある。)130aを形成する。このポリシリコン膜126は、メモリトランジスタ領域の選択ゲート線SGSとなる。 Next, a polysilicon film 126 and a silicon nitride film 128 to which a conductive impurity such as P (phosphorus) is added are formed on the entire surface of the substrate (FIG. 28). Thereafter, a hole (hereinafter also referred to as “transistor plug hole”) 130 a is formed by a photoresist process. The polysilicon film 126 becomes the selection gate line SGS in the memory transistor region.

次に、基板を加熱することにより、熱酸化膜132a及び132bを形成する(図29)。熱酸化膜132a及び132bは、選択ゲートトランジスタSSTrのゲート絶縁膜となる。次に、基板全面に窒化珪素膜を形成し、異方性エッチングすることにより、ブロック窒化珪素膜134を形成する(図29)。 Next, thermal oxide films 132a and 132b are formed by heating the substrate (FIG. 29). The thermal oxide films 132a and 132b serve as the gate insulating film of the select gate transistor SSTr. Next, a silicon nitride film is formed on the entire surface of the substrate and anisotropically etched to form a block silicon nitride film 134 (FIG. 29).

次に、フッ酸を用いたウェットエッチング、又はフッ素系のガスを用いたドライエッチングにより、熱酸化膜132bの一部を除去し、熱酸化膜132cを形成する(図30)。 Next, part of the thermal oxide film 132b is removed by wet etching using hydrofluoric acid or dry etching using a fluorine-based gas to form the thermal oxide film 132c (FIG. 30).

次に、ブロック窒化珪素膜134を除去し、基板全面にアモルファスシリコン(a−Si)膜を形成した後、アモルファスシリコン膜をCMPすることによって、a−Si膜136を形成する(図31)。なお、このアモルファスシリコン膜の代わりに、単結晶シリコンをエピタキシャル成長させることにより、シリコン膜136a及び136bを形成するようにしてもよい。 Next, after removing the block silicon nitride film 134 and forming an amorphous silicon (a-Si) film on the entire surface of the substrate, the amorphous silicon film is subjected to CMP to form an a-Si film 136 (FIG. 31). Note that the silicon films 136a and 136b may be formed by epitaxially growing single crystal silicon instead of the amorphous silicon film.

次に、フォトレジスト138を形成し、フォトエッチングプロセスを行う(図32)。 Next, a photoresist 138 is formed and a photo-etching process is performed (FIG. 32).

次に、チタン(Ti)膜を形成し、加熱処理を行うことにより、チタンシリサイド(TiSi)140a及び140bを形成する(図33)。なお、チタンシリサイド(TiSi)140a及び140bの代わりに、コバルトシリサイド(CoSi)を形成するようにしてもよい。なお、このシリサイド140a及び140bは形成しなくても良い。 Next, a titanium (Ti) film is formed and heat treatment is performed to form titanium silicide (TiSi) 140a and 140b (FIG. 33). Note that cobalt silicide (CoSi 2 ) may be formed instead of titanium silicide (TiSi) 140a and 140b. The silicides 140a and 140b may not be formed.

次に、プリメタル絶縁膜(PMD)として酸化珪素膜142を形成する。そしして、フォトエッチングプロセスによりコンタクトホールを形成し、その後、配線用の溝を酸化珪素膜142に形成した後、タングステン(W)膜を埋め込み、タングステン(W)プラグ144a、144b及び144cと、配線146a及び146bを形成する。次に、TEOS(Tetraethoxysilane)を用いて酸化珪素膜148を形成する(図33)。以下、TEOSを用いて形成した酸化珪素膜を「TEOS膜」ということがある。 Next, a silicon oxide film 142 is formed as a premetal insulating film (PMD). Then, a contact hole is formed by a photoetching process, and then a trench for wiring is formed in the silicon oxide film 142, and then a tungsten (W) film is embedded, and tungsten (W) plugs 144a, 144b, and 144c, Wirings 146a and 146b are formed. Next, a silicon oxide film 148 is formed using TEOS (Tetraethoxysilane) (FIG. 33). Hereinafter, a silicon oxide film formed using TEOS may be referred to as a “TEOS film”.

次に、P(リン)等の導電性不純物を添加したポリシリコン膜(もしくはアモルファスシリコン膜)と酸化珪素膜を交互に形成することによって、ポリシリコン膜150、154、158、162及び166並びに酸化珪素膜152、156、160及び164を形成する(図34)。更に、窒化珪素膜168を形成する(図34)。 Next, by alternately forming polysilicon films (or amorphous silicon films) to which conductive impurities such as P (phosphorus) are added and silicon oxide films, polysilicon films 150, 154, 158, 162 and 166 and oxidation are formed. Silicon films 152, 156, 160 and 164 are formed (FIG. 34). Further, a silicon nitride film 168 is formed (FIG. 34).

次に、メモリトランジスタ領域において、メモリトランジスタの柱状の半導体(ボディ部)を形成するためのメモリプラグホール170を形成する(図35)。なお、本実施形態においては、このメモリプラグホール170を「メモリプラグホール170」と呼ぶ。 Next, in the memory transistor region, a memory plug hole 170 for forming a columnar semiconductor (body portion) of the memory transistor is formed (FIG. 35). In the present embodiment, this memory plug hole 170 is referred to as “memory plug hole 170”.

なお、このメモリプラグホール170を形成するときのエッチングガスの切り替え、堆積物の除去、膜150〜168の材料などの種々の要因によって、図81及び82に示すように、メモリプラグホール170の表面に凹凸が生じる場合がある。図81には、メモリプラグホール170の表面のポリシリコン膜150、154、158、162及び166が過剰にエッチングされ、メモリプラグホール170の表面に凹凸が生じている例を示している。なお、このようにメモリプラグホール170の表面に凹凸が生じる場合であっても、本実施形態に係る不揮発性半導体記憶装置1のメモリトランジスタ領域の断面形状は、メモリプラグホール170の中心軸に対して概略対称である。 As shown in FIGS. 81 and 82, the surface of the memory plug hole 170 may be changed depending on various factors such as etching gas switching, deposit removal, and materials of the films 150 to 168 when the memory plug hole 170 is formed. There may be irregularities on the surface. FIG. 81 shows an example in which the polysilicon films 150, 154, 158, 162 and 166 on the surface of the memory plug hole 170 are excessively etched, and the surface of the memory plug hole 170 is uneven. Even when the surface of the memory plug hole 170 is uneven as described above, the cross-sectional shape of the memory transistor region of the nonvolatile semiconductor memory device 1 according to the present embodiment is relative to the central axis of the memory plug hole 170. Is roughly symmetrical.

図82には、メモリプラグホール170の表面の酸化珪素膜148、152、156、160及び164が過剰にエッチングされ、メモリプラグホール170の表面に凹凸が生じている例を示している。なお、このようにメモリプラグホール170の表面に凹凸が生じる場合であっても、本実施形態に係る不揮発性半導体記憶装置1のメモリトランジスタ領域の断面形状は、メモリプラグホール170の中心軸に対して概略対称である。 FIG. 82 shows an example in which the silicon oxide films 148, 152, 156, 160 and 164 on the surface of the memory plug hole 170 are excessively etched and unevenness is generated on the surface of the memory plug hole 170. Even when the surface of the memory plug hole 170 is uneven as described above, the cross-sectional shape of the memory transistor region of the nonvolatile semiconductor memory device 1 according to the present embodiment is relative to the central axis of the memory plug hole 170. Is roughly symmetrical.

また、メモリプラグホール170の表面に窒化珪素膜340a、340b、340c及び340dを形成し、本実施形態に係る不揮発性半導体記憶装置1のワード線WLとなるポリシリコン膜150、154、158、162及び166それぞれの間にある膜の誘電率を向上させるようにしてもよい(図83)。こうすることによって、ワード線WLの電位の変化の影響を、後にメモリプラグホール170に形成される柱状の半導体層に効率よく伝達させることができる。 In addition, silicon nitride films 340a, 340b, 340c, and 340d are formed on the surface of the memory plug hole 170, and polysilicon films 150, 154, 158, and 162 that become the word lines WL of the nonvolatile semiconductor memory device 1 according to this embodiment. And 166 may improve the dielectric constant of the film between them (FIG. 83). By doing so, the influence of the change in the potential of the word line WL can be efficiently transmitted to the columnar semiconductor layer formed in the memory plug hole 170 later.

また、本実施形態においては、酸化珪素膜152、156、160及び164を形成したが(図34)、その代わりに、酸化珪素膜/窒化珪素膜/酸化珪素膜の積層膜152、156、160及び164を形成するようにしてもよい(図84)。こうすることによって、ワード線WLの電位の変化の影響を、後にメモリプラグホール170に形成される柱状の半導体層に効率よく伝達させることができる。 In the present embodiment, the silicon oxide films 152, 156, 160 and 164 are formed (FIG. 34). Instead, the laminated films 152, 156, 160 of silicon oxide film / silicon nitride film / silicon oxide film are formed. And 164 may be formed (FIG. 84). By doing so, the influence of the change in the potential of the word line WL can be efficiently transmitted to the columnar semiconductor layer formed in the memory plug hole 170 later.

なお、このメモリプラグホール170を形成するときのエッチングガスの切り替え、堆積物の除去、膜150〜168の材料などの種々の要因によって、メモリプラグホール170の形状が順テーパ状(図85)又は樽状(図86)となる場合がある。 Note that the shape of the memory plug hole 170 may be a forward tapered shape (FIG. 85) or the like due to various factors such as switching of etching gas when forming the memory plug hole 170, removal of deposits, and materials of the films 150 to 168. It may be barrel-shaped (FIG. 86).

次に、酸化珪素膜、窒化珪素膜、酸化珪素膜を順に堆積し、所謂ONO膜172を形成する(図36)。このONO膜172中の窒化珪素膜は、メモリトランジスタの電荷蓄積層となる。 Next, a silicon oxide film, a silicon nitride film, and a silicon oxide film are sequentially deposited to form a so-called ONO film 172 (FIG. 36). The silicon nitride film in the ONO film 172 becomes a charge storage layer of the memory transistor.

次に、フォトレジストを形成し、エッチバックすることにより、周辺回路領域のONO膜172とメモリトランジスタ領域のONO膜の一部を除去する。メモリトランジスタ領域のメモリプラグホール170には、選択ゲートトランジスタSDTrが形成される層(ポリシリコン166)及びその下部の酸化珪素膜164の一部を除いて、ONO膜172a及びフォトレジスト174が残存する(図37)。 Next, a photoresist is formed and etched back to remove part of the ONO film 172 in the peripheral circuit region and the ONO film in the memory transistor region. In the memory plug hole 170 in the memory transistor region, the ONO film 172a and the photoresist 174 remain except for the layer (polysilicon 166) where the selection gate transistor SDTr is formed and a part of the silicon oxide film 164 therebelow. (FIG. 37).

次に、フォトレジスト174を除去し、加熱処理することにより、選択ゲートトランジスタSDTrが形成される層(ポリシリコン166)に熱酸化膜176を形成する(図38)。なお、この熱酸化膜を形成する代わりに、CVD法により、酸化珪素膜176を形成するようにしてもよい。 Next, the photoresist 174 is removed and heat treatment is performed to form a thermal oxide film 176 on the layer (polysilicon 166) where the select gate transistor SDTr is formed (FIG. 38). Instead of forming this thermal oxide film, a silicon oxide film 176 may be formed by a CVD method.

次に、基板全面に窒化珪素膜を形成し、異方性エッチングすることにより、スペーサ窒化珪素膜178を形成する(図39)。 Next, a silicon nitride film is formed over the entire surface of the substrate and anisotropically etched to form a spacer silicon nitride film 178 (FIG. 39).

次に、スペーサ窒化珪素膜178を除去した後、アモルファスシリコン膜を堆積し、CMP処理することにより、柱状のアモルファスシリコン層180を形成する(図40)。なお、アモルファスシリコン膜を堆積する代わりに、多結晶シリコン膜をエピタキシャル成長させて、多結晶シリコン層180を形成するようにしてもよい。また、下層選択ゲートトランジスタSSTrの内部のシリコンに選択エピタキシャル成長によって形成した場合には、同様に選択エピタキシャル成長法により単結晶シリコン180を形成することができる。 Next, after removing the spacer silicon nitride film 178, an amorphous silicon film is deposited and subjected to CMP to form a columnar amorphous silicon layer 180 (FIG. 40). Instead of depositing the amorphous silicon film, the polycrystalline silicon layer 180 may be formed by epitaxially growing the polycrystalline silicon film. Further, when formed by selective epitaxial growth on the silicon inside the lower select gate transistor SSTr, the single crystal silicon 180 can be similarly formed by the selective epitaxial growth method.

窒化珪素膜168、ポリシリコン膜150、154、158、162及び166並びに酸化珪素膜152、156、160及び164を各層の端部が階段状になるようにテーパーエッチングし、窒化珪素膜168a、ポリシリコン膜150、154a、158a、162a及び166a並びに酸化珪素膜152a、156a、160a及び164aを形成する(図41)。 The silicon nitride film 168, the polysilicon films 150, 154, 158, 162 and 166 and the silicon oxide films 152, 156, 160 and 164 are taper-etched so that the end portions of the respective layers are stepped, and the silicon nitride film 168a, Silicon films 150, 154a, 158a, 162a and 166a and silicon oxide films 152a, 156a, 160a and 164a are formed (FIG. 41).

次に、層間絶縁膜(BPSG)182を形成し、CMP処理し、平坦化する(図42)。 Next, an interlayer insulating film (BPSG) 182 is formed, subjected to CMP treatment, and planarized (FIG. 42).

ここで、メモリトランジスタ領域を図80(B)、図90若しくは、図11に示すように分割してもよい。そのときは、層間絶縁膜(BPSG)182を形成しCMPで平坦化した後、メモリトランジスタの分割パターンをフォトリソグラフィ法で形成し、導電体膜150、154、158、162、166及び層間絶縁膜152、156、160、164及び168をエッチングする。その後再度層間絶縁膜(BPSG)を堆積し平坦化する事で、図80(B)若しくは図90のようなアレイ分割が形成される。尚、アレイ分割する場合は、あらかじめメモリ領域のシリコン基板100をSTI102によって分割されるアレイと同程度の領域に分割しておいても良いし、シリコン基板100を分割しなくてもよい。 Here, the memory transistor region may be divided as shown in FIG. 80B, FIG. 90, or FIG. At that time, an interlayer insulating film (BPSG) 182 is formed and planarized by CMP, and then a divided pattern of the memory transistor is formed by photolithography, and the conductor films 150, 154, 158, 162, 166 and the interlayer insulating film Etch 152, 156, 160, 164 and 168. Thereafter, an interlayer insulating film (BPSG) is again deposited and flattened, whereby array division as shown in FIG. 80B or FIG. 90 is formed. In the case of dividing the array, the silicon substrate 100 in the memory area may be divided in advance into the same area as the array divided by the STI 102, or the silicon substrate 100 may not be divided.

次に、フォトエッチング工程により、選択ゲートトランジスタSDTrの層を分離し、領域186a及び186bに層間絶縁膜を堆積する。(図43)。 Next, the layers of the select gate transistor SDTr are separated by a photoetching process, and an interlayer insulating film is deposited in the regions 186a and 186b. (FIG. 43).

次に、層間絶縁膜(BPSG)182を除去し、チタン膜を形成し、加熱処理することによりチタンシリサイド膜を形成する。なお、チタンシリサイド膜の代わりにコバルトサリサイド、ニッケルサリサイドなどを用いても良いし、サリサイドを形成しなくても良い。そして、プリメタル絶縁膜(PMD)として酸化珪素膜187を形成し、CMP処理し、平坦化する(図44)。その後、フォトエッチング工程により、コンタクトホールを形成し、タングステン膜を形成し、CMP処理することによって、タングステンプラグ188a、188b、188c188d及び188eを形成する(図44)。 Next, the interlayer insulating film (BPSG) 182 is removed, a titanium film is formed, and a titanium silicide film is formed by heat treatment. Note that cobalt salicide, nickel salicide, or the like may be used instead of the titanium silicide film, or salicide may not be formed. Then, a silicon oxide film 187 is formed as a premetal insulating film (PMD), subjected to CMP treatment, and planarized (FIG. 44). Thereafter, contact holes are formed by a photo-etching process, a tungsten film is formed, and a CMP process is performed to form tungsten plugs 188a, 188b, 188c188d, and 188e (FIG. 44).

次に、アルミニウム(Al)膜を形成し、フォトエッチング工程を経て、電極190a、190b、190c、190d、190e及び190fを形成する(図44)。 Next, an aluminum (Al) film is formed, and electrodes 190a, 190b, 190c, 190d, 190e, and 190f are formed through a photoetching process (FIG. 44).

次に、層間絶縁膜(BPSG)192を形成し、CMP処理し、平坦化する(図44)。その後、フォトエッチング工程により、コンタクトホールを形成し、タングステン膜を形成し、CMP処理することによって、タングステンプラグ196a及び196bを形成する(図44)。そして、アルミニウム(Al)膜を形成し、フォトエッチング工程を経て、電極196a及び196bを形成する(図44)。 Next, an interlayer insulating film (BPSG) 192 is formed, subjected to CMP treatment, and planarized (FIG. 44). Thereafter, contact plugs are formed by a photo-etching process, a tungsten film is formed, and a CMP process is performed to form tungsten plugs 196a and 196b (FIG. 44). Then, an aluminum (Al) film is formed, and electrodes 196a and 196b are formed through a photoetching process (FIG. 44).

以上の工程により、本実施形態に係る本発明の不揮発性半導体記憶装置1を製造することができる。 Through the above steps, the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment can be manufactured.

(実施形態2)
本実施形態においては、図45〜図77を用いて本発明の不揮発性半導体記憶装置の別の例について、その製造プロセスを説明する。なお、図45〜図77においては、実施形態1と同様、左側にワード線ドライバ回路やセンスアンプ回路等の周辺回路が形成される周辺回路領域を示し、右側にメモリトランジスタ領域を示し、メモリトランジスタ領域においては、領域Aの部分、領域Bの部分、X−X’及びY−Y’の断面に相当する部分を図示している。
(Embodiment 2)
In the present embodiment, a manufacturing process for another example of the nonvolatile semiconductor memory device of the present invention will be described with reference to FIGS. 45 to 77, as in the first embodiment, the left side shows a peripheral circuit region in which peripheral circuits such as a word line driver circuit and a sense amplifier circuit are formed, the right side shows a memory transistor region, and the memory transistor In the region, a portion of region A, a portion of region B, and a portion corresponding to a cross section of XX ′ and YY ′ are illustrated.

まず、図45を参照する。実施形態1と同様の方法により、半導体基板200上にSTI202a、202b、202c、202d、202eを形成する(図45)。 First, referring to FIG. STI 202a, 202b, 202c, 202d, and 202e are formed on the semiconductor substrate 200 by the same method as in the first embodiment (FIG. 45).

次に、基板表面を犠牲酸化し(図示せず)、所望の位置にフォトレジストパタンを形成した後、ボロン(B)イオンを注入し、P−well領域204を形成する(図46)。また、所望の位置にフォトレジストパタンを形成した後、基板200の表面付近にボロン(B)イオンを注入し、トランジスタのしきい値Vthを調整するチャネルインプラ領域206a及び206bを形成する。なお、ここでは、実施形態1と同様、周辺回路領域に形成するトランジスタは、Nチャネル型トランジスタの例を示しているが、所望の領域にN型を付与するイオンを注入することにより、N−well領域を形成し、Pチャネル型トランジスタを形成している(図示せず)。 Next, the substrate surface is sacrificial oxidized (not shown), a photoresist pattern is formed at a desired position, and then boron (B) ions are implanted to form a P-well region 204 (FIG. 46). Further, after forming a photoresist pattern at a desired position, boron (B) ions are implanted near the surface of the substrate 200 to form channel implantation regions 206a and 206b for adjusting the threshold value Vth of the transistor. Note that here, as in the first embodiment, the transistor formed in the peripheral circuit region is an example of an N-channel transistor. However, by implanting ions imparting N-type into a desired region, N− A well region is formed to form a P-channel transistor (not shown).

次に、メモリトランジスタ領域のみを開口するフォトエッチング工程を行い、メモリトランジスタ領域の所望の位置にリン(P)イオンを注入し、濃いn+領域208を形成する(図46)。このn拡散領域208は、ソース線SLとなる。 Next, a photo-etching process for opening only the memory transistor region is performed, and phosphorus (P) ions are implanted into a desired position in the memory transistor region to form a dense n + region 208 (FIG. 46). The n + diffusion region 208 becomes the source line SL.

次に、基板全面に窒化珪素膜(バリア窒化珪素膜)209を形成し、続いて、基板全面にTEOS膜又はBPSG膜210を形成する(図46)。 Next, a silicon nitride film (barrier silicon nitride film) 209 is formed on the entire surface of the substrate, and then a TEOS film or a BPSG film 210 is formed on the entire surface of the substrate (FIG. 46).

次に、基板全面にP等の導電型不純物を添加したポリシリコン(p−Si)膜212を形成し、続いて、基板全面に窒化珪素膜214を形成する(図46)。 Next, a polysilicon (p-Si) film 212 to which a conductive impurity such as P is added is formed on the entire surface of the substrate, and then a silicon nitride film 214 is formed on the entire surface of the substrate (FIG. 46).

次に、所望のパターンにフォトレジストを形成し、フォトリソグラフィ工程により、ポリシリコン膜212及び窒化珪素膜214をエッチングし、ポリシリコン膜212a及び窒化珪素膜214aを形成する。 Next, a photoresist is formed in a desired pattern, and the polysilicon film 212 and the silicon nitride film 214 are etched by a photolithography process to form the polysilicon film 212a and the silicon nitride film 214a.

次に、フォトレジストマスク(図示せず)を周辺回路領域以外に形成し、そのフォトレジストマスクを用いて、酸化珪素膜210および窒化珪素膜(バリア窒化珪素膜)209をエッチングし、メモリトランジスタ領域の酸化珪素膜210aを残し、周辺回路領域の酸化珪素膜210および窒化珪素膜(バリア窒化珪素膜)209を除去する(図48)。その後、フォトレジストマスク(図示せず)を除去する。 Next, a photoresist mask (not shown) is formed in a region other than the peripheral circuit region, and the silicon oxide film 210 and the silicon nitride film (barrier silicon nitride film) 209 are etched using the photoresist mask to form a memory transistor region. The silicon oxide film 210a and the silicon oxide film 210 and the silicon nitride film (barrier silicon nitride film) 209 in the peripheral circuit region are removed (FIG. 48). Thereafter, the photoresist mask (not shown) is removed.

次に、犠牲酸化膜(図示せず)を除去し、加熱処理することにより、第1の熱酸化膜(図示せず)を形成する。 Next, the sacrificial oxide film (not shown) is removed and heat treatment is performed to form a first thermal oxide film (not shown).

次に、所望のパターンにフォトレジストマスク216a及び216bを形成して、ウェットエッチングすることにより、第1の熱酸化膜、STI202a及び202bの一部をエッチング除去する(図49)。このエッチング除去された領域に高速動作用の薄膜トランジスタを形成し、また、エッチング除去されていない部分に高耐圧用の厚膜ゲートトランジスタを形成することになる。 Next, photoresist masks 216a and 216b are formed in a desired pattern, and wet etching is performed to remove a part of the first thermal oxide film and STIs 202a and 202b (FIG. 49). A thin film transistor for high speed operation is formed in the etched area, and a thick gate transistor for high withstand voltage is formed in the unetched area.

その後、フォトレジストマスク216a及び216bを除去し、加熱処理を行うことによって第2の熱酸化膜(図示せず)を形成する。 Thereafter, the photoresist masks 216a and 216b are removed, and heat treatment is performed to form a second thermal oxide film (not shown).

次に、P(リン)等の導電性不純物が添加されたポリシリコン膜218を形成する(図50)。そして、ポリシリコン膜218を所定のパターンにエッチングし、周辺回路領域のトランジスタのゲート電極218a及び218bを形成する(図51)。このとき、メモリトランジスタ領域においては、エッチング条件によりポリシリコン膜218c、218d、218e及び218fが残存する(図51)場合もあり、また、残存しない場合もある。 Next, a polysilicon film 218 to which a conductive impurity such as P (phosphorus) is added is formed (FIG. 50). Then, the polysilicon film 218 is etched into a predetermined pattern to form the gate electrodes 218a and 218b of the transistors in the peripheral circuit region (FIG. 51). At this time, in the memory transistor region, the polysilicon films 218c, 218d, 218e, and 218f may remain (FIG. 51) or may not remain depending on the etching conditions.

次に、周辺回路領域のPチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のNチャネル型トランジスタの領域にAsイオン又はPイオンを注入し、ゲート電極218a及び218bと自己整合的に浅いN型領域220a、220b、220c及び220dを形成し(図51)、その後、フォトレジストを除去する。 Next, a photoresist (not shown) is formed in the P-channel transistor region and the memory transistor region in the peripheral circuit region, and As ions or P ions are implanted into the N-channel transistor region in the peripheral circuit region. Shallow N-type regions 220a, 220b, 220c and 220d are formed in a self-aligned manner with the electrodes 218a and 218b (FIG. 51), and then the photoresist is removed.

次に、周辺回路領域のNチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のPチャネル型トランジスタの領域に、例えばBイオンを注入し、ゲート電極(図示せず)と自己整合的に浅いP型領域(図示せず)を形成し、その後、フォトレジストを除去する。 Next, a photoresist is formed on the N-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), and, for example, B ions are implanted into the P-channel transistor region in the peripheral circuit region, and the gate electrode A shallow P-type region (not shown) is formed in a self-aligned manner (not shown), and then the photoresist is removed.

次に、基板全面に窒化珪素膜を形成し、異方性エッチングすることにより、ゲート電極218a及び218bの両端部のみ窒化珪素膜を残し、サイドウォール222a、222b、222c及び222dを形成する(図52)。なお、メモリトランジスタ領域においては、エッチング条件によりポリシリコン膜218c、218d、218e及び218fの側部に、それぞれ、サイドウォール222e、222f、222g及び222hが形成される(図52)場合もあり、形成されない場合もある。 Next, a silicon nitride film is formed on the entire surface of the substrate, and anisotropic etching is performed to leave the silicon nitride film only at both ends of the gate electrodes 218a and 218b, thereby forming sidewalls 222a, 222b, 222c, and 222d (FIG. 52). In the memory transistor region, side walls 222e, 222f, 222g, and 222h may be formed on the sides of the polysilicon films 218c, 218d, 218e, and 218f, respectively, depending on the etching conditions (FIG. 52). It may not be done.

次に、周辺回路領域のPチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のNチャネル型トランジスタの領域に砒素(As)イオンを注入し、サイドウォール224a、224b、224c及び224dと自己整合的にソース/ドレイン領域224a、224b、224c及び224dを形成し(図53)、その後、フォトレジストを除去する。 Next, a photoresist is formed in the P-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), arsenic (As) ions are implanted into the N-channel transistor region in the peripheral circuit region, and the side Source / drain regions 224a, 224b, 224c and 224d are formed in a self-aligned manner with the walls 224a, 224b, 224c and 224d (FIG. 53), and then the photoresist is removed.

次に、周辺回路領域のNチャネル型トランジスタの領域及びメモリトランジスタ領域にフォトレジストを形成し(図示せず)、周辺回路領域のPチャネル型トランジスタの領域にBイオンを注入し、サイドウォール(図示せず)と自己整合的にソース/ドレイン領域(図示せず)を形成し、その後、フォトレジストを除去する。 Next, a photoresist is formed on the N-channel transistor region and the memory transistor region in the peripheral circuit region (not shown), B ions are implanted into the P-channel transistor region in the peripheral circuit region, and the sidewall (see FIG. Source / drain regions (not shown) are formed in a self-aligned manner with the photoresist (not shown), and then the photoresist is removed.

次に、基板全面に窒化珪素膜(バリア窒化珪素膜)226を形成する(図53) Next, a silicon nitride film (barrier silicon nitride film) 226 is formed on the entire surface of the substrate (FIG. 53).

次に、基板全面にBPSG膜228を形成し、CMP処理することにより、BPSG膜228を平坦化する(図54)。 Next, a BPSG film 228 is formed on the entire surface of the substrate, and CMP treatment is performed to planarize the BPSG film 228 (FIG. 54).

次に、プリメタル層として酸化珪素膜230を形成する。続いて、フォトエッチング工程により酸化珪素膜230にコンタクトホール232a、232b及び232cを形成する(図55)。そして、フォトエッチング工程により酸化珪素膜230に配線用溝を形成し、タングステンを埋め込みCMPなどで平坦化する事で、タングステン(W)プラグ234a、234b及び234c及び、配線235a、235b及び235cを形成する(図56)。次に、TEOS膜236を形成する(図56)。 Next, a silicon oxide film 230 is formed as a premetal layer. Subsequently, contact holes 232a, 232b, and 232c are formed in the silicon oxide film 230 by a photoetching process (FIG. 55). Then, a trench for wiring is formed in the silicon oxide film 230 by a photoetching process, and tungsten (W) plugs 234a, 234b and 234c and wirings 235a, 235b and 235c are formed by filling tungsten and planarizing by CMP or the like. (FIG. 56). Next, a TEOS film 236 is formed (FIG. 56).

次に、P等の導電性不純物を添加したポリシリコン膜とTEOS膜を交互に形成することによって、ポリシリコン膜238、242、246及び250並びに酸化珪素膜240、244、248及び252を形成する(図57)。 Next, polysilicon films 238, 242, 246 and 250 and silicon oxide films 240, 244, 248 and 252 are formed by alternately forming polysilicon films and TEOS films to which conductive impurities such as P are added. (FIG. 57).

次に、メモリトランジスタ領域の各層の端部が階段状になるようにテーパーエッチング工程を行う。まず、メモリトランジスタ領域の所定の位置にフォトレジストマスク254を形成する(図58)。 Next, a taper etching process is performed so that the end portions of the respective layers in the memory transistor region are stepped. First, a photoresist mask 254 is formed at a predetermined position in the memory transistor region (FIG. 58).

次に、フォトレジストマスク254を用いて、酸化珪素膜252をエッチングし、酸化珪素膜252aを形成する(図59)。 Next, the silicon oxide film 252 is etched using the photoresist mask 254 to form a silicon oxide film 252a (FIG. 59).

次に、フォトレジストマスク254を用いて、ポリシリコン膜250aをエッチングし、ポリシリコン膜250aを形成する(図60) Next, the polysilicon film 250a is etched using the photoresist mask 254 to form the polysilicon film 250a (FIG. 60).

次に、フォトレジストマスク254をシニングし、フォトレジストマスク254aを形成する(図61)。そして、フォトレジストマスク254aを用いて、酸化珪素膜252a及び248をエッチングし、酸化珪素膜252b及び248aを形成する(図61)。 Next, the photoresist mask 254 is thinned to form a photoresist mask 254a (FIG. 61). Then, the silicon oxide films 252a and 248 are etched using the photoresist mask 254a to form silicon oxide films 252b and 248a (FIG. 61).

次に、フォトレジストマスク254aを用いて、ポリシリコン膜250a及び246をエッチングし、ポリシリコン膜250b及び246aを形成する(図62)。 Next, the polysilicon films 250a and 246 are etched using the photoresist mask 254a to form polysilicon films 250b and 246a (FIG. 62).

次に、フォトレジストマスク254aをシニングし、フォトレジストマスク254bを形成する(図63)。そして、フォトレジストマスク254bを用いて、酸化珪素膜252b及び248aをエッチングし、酸化珪素膜252c、248b及び244aを形成する(図63)。 Next, the photoresist mask 254a is thinned to form a photoresist mask 254b (FIG. 63). Then, the silicon oxide films 252b and 248a are etched using the photoresist mask 254b to form silicon oxide films 252c, 248b and 244a (FIG. 63).

次に、フォトレジストマスク254bを用いて、ポリシリコン膜250b、246b及び242をエッチングし、ポリシリコン膜250c、246b及び242aを形成する(図64)。 Next, the polysilicon films 250b, 246b, and 242 are etched using the photoresist mask 254b to form polysilicon films 250c, 246b, and 242a (FIG. 64).

次に、フォトレジストマスク254bをシニングし、フォトレジストマスク254cを形成する(図64)。そして、フォトレジストマスク254cを用いて、酸化珪素膜252b、248b、244a及び240をエッチングし、酸化珪素膜252d、248c、244b及び240aを形成する(図65)。 Next, the photoresist mask 254b is thinned to form a photoresist mask 254c (FIG. 64). Then, using the photoresist mask 254c, the silicon oxide films 252b, 248b, 244a, and 240 are etched to form silicon oxide films 252d, 248c, 244b, and 240a (FIG. 65).

次に、フォトレジストマスク254cを用いて、ポリシリコン膜250c、246b、242a及び238をエッチングし、ポリシリコン膜250d、246c、242b及び238aを形成する(図66)。こうすることによって、各層の端部が階段状に形成することができる。 Next, the polysilicon films 250c, 246b, 242a and 238 are etched using the photoresist mask 254c to form polysilicon films 250d, 246c, 242b and 238a (FIG. 66). By doing so, the end of each layer can be formed in a stepped shape.

なお、上述の実施形態1においても、本実施形態2において説明したテーパーエッチングの工程を用いて、図41に示すように、窒化珪素膜168a、ポリシリコン膜150、154a、158a、162a及び166a並びに酸化珪素膜152a、156a、160a及び164aを形成するようにしてもよい。 In the first embodiment, the silicon nitride film 168a, the polysilicon films 150, 154a, 158a, 162a and 166a and the taper etching process described in the second embodiment are used as shown in FIG. Silicon oxide films 152a, 156a, 160a, and 164a may be formed.

次に、フォトレジストマスク254cを除去し、基板全面に窒化珪素膜(バリア窒化珪素膜)255を形成する(図67)。 Next, the photoresist mask 254c is removed, and a silicon nitride film (barrier silicon nitride film) 255 is formed on the entire surface of the substrate (FIG. 67).

次に、基板全面にBPSG膜256を形成し、加熱処理(リフロー処理)することによって、BPSG膜の表面を平坦化する(図67)。さらに、BPSG膜をCMP処理することにより、BPSG膜の表面の平坦性を高くする。ここで、メモリトランジスタ領域を図80(B)図90若しくは、図11に示すように分割してもよい。そのときは、層間絶縁膜(BPSG)256を形成しCMPで平坦化した後、メモリトランジスタ領域の分割パターンをフォトリソグラフィ法で形成し、導電体膜238a、242b、246c、250d、及び層間絶縁膜240a、244b、248c、254dをエッチングする。その後再度層間絶縁膜(BPSG)を堆積し平坦化する事で、図80(B)図90若しくは図11のようなアレイ分割が形成される。尚、アレイ分割する場合は、あらかじめメモリトランジスタ領域のシリコン基板100をSTI202によって分割されるアレイと同程度の領域に分割しておいても良いし、シリコン基板200を分割しなくてもよい。その後、P等の導電性不純物が添加されたポリシリコン膜258及び窒化珪素膜260を形成する(図67) Next, a BPSG film 256 is formed on the entire surface of the substrate, and heat treatment (reflow treatment) is performed to planarize the surface of the BPSG film (FIG. 67). Further, the flatness of the surface of the BPSG film is increased by subjecting the BPSG film to CMP treatment. Here, the memory transistor region may be divided as shown in FIG. 80B, FIG. 90, or FIG. At that time, an interlayer insulating film (BPSG) 256 is formed and planarized by CMP, and then a division pattern of the memory transistor region is formed by photolithography, and the conductor films 238a, 242b, 246c, 250d, and the interlayer insulating film 240a, 244b, 248c, and 254d are etched. Thereafter, an interlayer insulating film (BPSG) is again deposited and flattened, whereby array division as shown in FIG. 80B, FIG. 90, or FIG. 11 is formed. In the case of dividing the array, the silicon substrate 100 in the memory transistor region may be divided in advance into the same area as the array divided by the STI 202, or the silicon substrate 200 may not be divided. Thereafter, a polysilicon film 258 and a silicon nitride film 260 to which conductive impurities such as P are added are formed (FIG. 67).

次に、メモリトランジスタ領域において、メモリトランジスタの柱状の半導体(ボディ部)を形成するためのホール262を形成する(図68)。なお、本実施形態においては、ホール262を「メモリプラグホール262」と言う。 Next, a hole 262 for forming a columnar semiconductor (body portion) of the memory transistor is formed in the memory transistor region (FIG. 68). In the present embodiment, the hole 262 is referred to as a “memory plug hole 262”.

なお、このメモリプラグホール262を形成するときのエッチングガスの切り替え、堆積物の除去、膜238〜252の材料などの種々の要因によって、上述の実施形態1の図81及び82に示すと同様に、メモリプラグホール262の表面に凹凸が生じる場合がある。なお、このようにメモリプラグホール262の表面に凹凸が生じる場合であっても、本実施形態に係る不揮発性半導体記憶装置1のメモリトランジスタ領域の断面形状は、メモリプラグホール262の中心軸に対して概略対称である。 It should be noted that, as shown in FIGS. 81 and 82 of the first embodiment, depending on various factors such as switching of etching gas when forming the memory plug hole 262, removal of deposits, and materials of the films 238 to 252. The surface of the memory plug hole 262 may be uneven. Even when the surface of the memory plug hole 262 is uneven as described above, the cross-sectional shape of the memory transistor region of the nonvolatile semiconductor memory device 1 according to this embodiment is relative to the central axis of the memory plug hole 262. Is roughly symmetrical.

また、上述の実施形態1の図83に示すと同様に、メモリプラグホール262の表面に窒化珪素膜を形成し、本実施形態に係る不揮発性半導体記憶装置1のワード線WLとなるポリシリコン膜238、242、246及び250それぞれの間にある膜の誘電率を向上させるようにしてもよい。こうすることによって、ワード線WLの電位の変化の影響を、後にメモリプラグホール262に形成される柱状の半導体層に効率よく伝達させることができる。 Further, as shown in FIG. 83 of the first embodiment described above, a silicon nitride film is formed on the surface of the memory plug hole 262, and a polysilicon film that becomes the word line WL of the nonvolatile semiconductor memory device 1 according to the present embodiment. The dielectric constant of the film between 238, 242, 246 and 250 may be improved. Thus, the influence of the change in the potential of the word line WL can be efficiently transmitted to the columnar semiconductor layer formed in the memory plug hole 262 later.

また、上述の実施形態1の図84に示すと同様に、本実施形態においては、酸化珪素膜/窒化珪素膜/酸化珪素膜の積層膜をそれぞれ形成するようにしてもよい。こうすることによって、ワード線WLの電位の変化の影響を、後にメモリプラグホール262に形成される柱状の半導体層に効率よく伝達させることができる。 Similarly to the above-described first embodiment shown in FIG. 84, in this embodiment, a stacked film of a silicon oxide film / a silicon nitride film / a silicon oxide film may be formed. Thus, the influence of the change in the potential of the word line WL can be efficiently transmitted to the columnar semiconductor layer formed in the memory plug hole 262 later.

なお、このメモリプラグホール262を形成するときのエッチングガスの切り替え、堆積物の除去、膜238〜252の材料などの種々の要因によって、メモリプラグホール262の形状が上述の実施形態1の図85及び図86に示すと同様に順テーパ状又は樽状となる場合がある。 Note that the shape of the memory plug hole 262 is changed depending on various factors such as switching of the etching gas when forming the memory plug hole 262, removal of deposits, and materials of the films 238 to 252 as shown in FIG. And it may become a forward taper shape or a barrel shape as shown in FIG.

また、メモリプラグホール262を形成したあと、基板全面にリン(P)イオンを注入し、ソース線SLとなるn拡散領域208にリンイオンを再度注入するようにしてもよい(図示せず)。 Alternatively, after forming the memory plug hole 262, phosphorus (P) ions may be implanted into the entire surface of the substrate, and phosphorus ions may be implanted again into the n + diffusion region 208 to be the source line SL (not shown).

次に、基板全面にTEOS膜264を形成する(図69)。このTEOS膜264は、図69に示すとおり、メモリプラグホール262の底部まで形成される。ここでTEOS膜264を形成する代わりに、熱酸化方により酸化膜を形成しても良い、この場合は、実施形態1と同様に、メモリプラグホール262の側壁のポリシリコン部分及びメモリプラグホール262底部のシリコン基板上にのみ酸化膜が形成される。 Next, a TEOS film 264 is formed on the entire surface of the substrate (FIG. 69). The TEOS film 264 is formed up to the bottom of the memory plug hole 262 as shown in FIG. Here, instead of forming the TEOS film 264, an oxide film may be formed by thermal oxidation. In this case, as in the first embodiment, the polysilicon portion on the side wall of the memory plug hole 262 and the memory plug hole 262 are formed. An oxide film is formed only on the bottom silicon substrate.

次に、TEOS膜264を異方性エッチングし、TEOS膜246aを形成する(図70)。このとき、TEOS膜264は、メモリプラグホール262の底部がエッチングされるようにする。 Next, the TEOS film 264 is anisotropically etched to form a TEOS film 246a (FIG. 70). At this time, the TEOS film 264 is etched so that the bottom of the memory plug hole 262 is etched.

次に、アモルファスシリコン膜266を形成する(図70)。 Next, an amorphous silicon film 266 is formed (FIG. 70).

次に、アモルファスシリコン膜266をエッチバックし、アモルファスシリコン膜268aとなるまで後退させる(図71)。次に、メモリプラグホール262内部のTEOS膜264aを除去し、その後、酸化珪素膜、窒化珪素膜、酸化珪素膜を順に堆積し、所謂ONO膜270を形成する(図71)。このONO膜270は、メモリトランジスタの電荷蓄積層となる。なお、ONO膜270の酸化珪素膜は、TEOS膜でなるようにしてもよい。 Next, the amorphous silicon film 266 is etched back and retracted until it becomes an amorphous silicon film 268a (FIG. 71). Next, the TEOS film 264a inside the memory plug hole 262 is removed, and then a silicon oxide film, a silicon nitride film, and a silicon oxide film are sequentially deposited to form a so-called ONO film 270 (FIG. 71). The ONO film 270 becomes a charge storage layer of the memory transistor. Note that the silicon oxide film of the ONO film 270 may be a TEOS film.

次に、ONO膜270を異方性エッチングすることにより、ONO膜270の底部を除去し、ONO膜270aを形成する(図72)。次に、アモルファスシリコン膜272を形成し、エッチバックし、アモルファスシリコン膜272aとなるまで後退させる(図72)。次に、メモリプラグホール262内部側壁のONO膜270aの上部露出した領域を酸化珪素膜252の一部が露出する程度まで除去する(図72)。その後、基板全面にTEOS膜274を形成する(図72)。またTEOSの代わりに熱酸化膜を形成しても良い、この場合、メモリプラグホール262の側壁のポリシリコン上と底部のポリシリコン部分にのみ酸化膜が形成される。 Next, the ONO film 270 is anisotropically etched to remove the bottom of the ONO film 270 and form the ONO film 270a (FIG. 72). Next, an amorphous silicon film 272 is formed, etched back, and retracted to become an amorphous silicon film 272a (FIG. 72). Next, the upper exposed region of the ONO film 270a on the inner sidewall of the memory plug hole 262 is removed to such an extent that a part of the silicon oxide film 252 is exposed (FIG. 72). Thereafter, a TEOS film 274 is formed on the entire surface of the substrate (FIG. 72). Alternatively, a thermal oxide film may be formed instead of TEOS. In this case, an oxide film is formed only on the polysilicon on the side wall of the memory plug hole 262 and only on the bottom polysilicon.

次に、TEOS膜274を異方性エッチングすることにより、TEOS膜274の底部を除去し、TEOS膜274aを形成する(図73)。 Next, the TEOS film 274 is anisotropically etched to remove the bottom of the TEOS film 274 and form a TEOS film 274a (FIG. 73).

次に、アモルファスシリコン膜276を形成し、CMP処理することにより、アモルファスシリコン膜276を平坦化する(図74)。 Next, an amorphous silicon film 276 is formed and subjected to CMP to planarize the amorphous silicon film 276 (FIG. 74).

次に、フォトエッチング工程により、選択ゲートトランジスタSDTrの層を分離し(図75)、領域278a及び186bにBPSG膜280を堆積し、CMP処理する(図76)。 Next, the layer of the select gate transistor SDTr is separated by a photoetching process (FIG. 75), a BPSG film 280 is deposited in the regions 278a and 186b, and CMP processing is performed (FIG. 76).

次に、フォトエッチング工程により、コンタクトホール282a、282b、282c、282d、282e、282f及び282gを形成する(図76)。 Next, contact holes 282a, 282b, 282c, 282d, 282e, 282f and 282g are formed by a photoetching process (FIG. 76).

次に、チタン及び窒化チタンの積層膜(図示せず)を形成した後、タングステン膜を形成し、CMP処理することにより、コンタクトホール282a、282b、282c、282d、282e、282f及び282gにタングステンプラグ284a、284b、284c、284d、284e、284f及び284gを形成する(図77)。 Next, after a laminated film (not shown) of titanium and titanium nitride is formed, a tungsten film is formed and subjected to CMP, whereby tungsten plugs are formed in the contact holes 282a, 282b, 282c, 282d, 282e, 282f and 282g. 284a, 284b, 284c, 284d, 284e, 284f and 284g are formed (FIG. 77).

次に、アルミニウム・銅(AlCu)膜を形成し、フォトレジストマスク(図示せず)を形成し、フォトエッチング工程により、パターンニングすることによって、配線286a、286b、286c、286d、286e、286f、286g及び286hを形成する(図78)。その後、フォトレジストマスクを除去する。 Next, an aluminum / copper (AlCu) film is formed, a photoresist mask (not shown) is formed, and patterning is performed by a photoetching process, whereby wirings 286a, 286b, 286c, 286d, 286e, 286f, 286g and 286h are formed (FIG. 78). Thereafter, the photoresist mask is removed.

以上の工程により、本実施形態に係る本発明の不揮発性半導体記憶装置1を製造することができる。 Through the above steps, the nonvolatile semiconductor memory device 1 of the present invention according to this embodiment can be manufactured.

(実施形態3)
本実施形態においては、本発明の不揮発性半導体記憶装置の電荷蓄積層にナノ結晶膜を含む膜を用いる。例えば、電荷蓄積層を、酸化珪素膜、ナノ結晶膜、酸化珪素膜の積層構造とする。ナノ結晶膜としては、シリコンのナノ結晶を含む酸化珪素膜を用いることができる。本実施形態に係る不揮発性半導体記憶装置においては、このナノ結晶膜中に離散分布したシリコンのナノ結晶に電荷が保持される。
(Embodiment 3)
In this embodiment, a film including a nanocrystal film is used for the charge storage layer of the nonvolatile semiconductor memory device of the present invention. For example, the charge storage layer has a stacked structure of a silicon oxide film, a nanocrystal film, and a silicon oxide film. As the nanocrystal film, a silicon oxide film containing silicon nanocrystals can be used. In the nonvolatile semiconductor memory device according to this embodiment, electric charges are held in silicon nanocrystals distributed discretely in the nanocrystal film.

なお,本実施形態においては,シリコンのナノ結晶を含む酸化珪素膜でなるナノ結晶膜を用いたが,コバルト(Co),タングステン(W),銀(Ag),金(Au),白金(Pt)等の金属のナノ結晶又はその他の導電性物質のナノ結晶を用いてもよい。なお,ナノ結晶は,「メタル・ナノ・ドット」や「ナノクリスタル」とも言う。 In this embodiment, a nanocrystal film made of a silicon oxide film containing silicon nanocrystals is used. However, cobalt (Co), tungsten (W), silver (Ag), gold (Au), platinum (Pt ) Or other conductive material nanocrystals may be used. Nanocrystals are also called “metal nanodots” or “nanocrystals”.

また,本実施例においては,電荷蓄積層を、酸化珪素膜、ナノ結晶膜、酸化珪素膜の三層積層構造を採用したが,これらの三層を連続的に形成し,シリコン,金属その他導電性物質のナノ結晶を含有する酸化珪素膜等の絶縁膜の一層構造としてもよい。 In this embodiment, the charge storage layer has a three-layer laminated structure of a silicon oxide film, a nanocrystal film, and a silicon oxide film. However, these three layers are continuously formed, and silicon, metal, and other conductive layers are formed. Alternatively, a single layer structure of an insulating film such as a silicon oxide film containing nanocrystals of a functional substance may be used.

(実施形態4)
本実施形態においては、本発明の不揮発性半導体記憶装置のメモリトランジスタ領域の構成の別の例について説明する。なお、その他の構成については、上述の実施形態1、2及び3と同様であるので、ここでは、改めて説明しない。
(Embodiment 4)
In this embodiment, another example of the configuration of the memory transistor region of the nonvolatile semiconductor memory device of the present invention will be described. Other configurations are the same as those in the first, second, and third embodiments, and will not be described again here.

本発明の一実施形態に係る不揮発性半導体記憶装置においては、ワード線WLとなる導電体層とその層間膜とをテーパ状にエッチングし、段差を形成している。ここで、隣接する2個のメモリトランジスタ領域を形成する例を図79に示す。図79は、本実施形態に係る本発明の不揮発性半導体記憶装置のメモリトランジスタ領域の導電体層を上部から見た図である。導電体層300〜306は、1つのメモリトランジスタ領域を示しており、300は1層目の導電体層、302は2層目の導電体層、304は3層目の導電体層、306は4層目の導電体層を示している。また、導電体層308〜314は、隣接するメモリトランジスタ領域を示しており、308は1層目の導電体層、310は2層目の導電体層、312は3層目の導電体層、314は4層目の導電体層を示している。なお、“A”は、この隣接する2つのメモリトランジスタのY’−Y方向の長さであり、“B”は、X’−X方向の長さである。 In the nonvolatile semiconductor memory device according to one embodiment of the present invention, the conductor layer that becomes the word line WL and its interlayer film are etched in a tapered shape to form a step. Here, an example of forming two adjacent memory transistor regions is shown in FIG. FIG. 79 is a top view of the conductor layer in the memory transistor region of the nonvolatile semiconductor memory device according to the present embodiment. The conductor layers 300 to 306 indicate one memory transistor region, where 300 is a first conductor layer, 302 is a second conductor layer, 304 is a third conductor layer, and 306 is a conductor layer. A fourth conductor layer is shown. Conductor layers 308 to 314 indicate adjacent memory transistor regions, where 308 is a first conductor layer, 310 is a second conductor layer, 312 is a third conductor layer, Reference numeral 314 denotes a fourth conductor layer. Note that “A” is the length in the Y′-Y direction of the two adjacent memory transistors, and “B” is the length in the X′-X direction.

このように、隣接するメモリトランジスタ領域を形成する場合は、それぞれのメモリトランジスタ領域を別々に形成するようにしてもよい。 As described above, when adjacent memory transistor regions are formed, each memory transistor region may be formed separately.

また、図80には、本実施形態に係る不揮発性半導体記憶装置の2メモリトランジスタ領域の別の例を示している。図80(A)は、本実施形態に係る本発明の不揮発性半導体記憶装置のメモリトランジスタ領域の導電体層を上部から見た図である。320は1層目の導電体層、322は2層目の導電体層、324は3層目の導電体層、326及び328は4層目の導電体層を示している。 FIG. 80 shows another example of the two memory transistor regions of the nonvolatile semiconductor memory device according to this embodiment. FIG. 80A is a top view of the conductor layer in the memory transistor region of the nonvolatile semiconductor memory device of the present invention according to this embodiment. 320 denotes a first conductor layer, 322 denotes a second conductor layer, 324 denotes a third conductor layer, and 326 and 328 denote fourth conductor layers.

図80に示すメモリトランジスタ領域において、中央付近でX’−X方向に沿って導電体層320、324及び326をエッチング除去することにより、導電体層320a、322a、324a及び326からなるメモリトランジスタ領域と、電体層320b、322b、324b及び328からなるメモリトランジスタ領域との2つのメモリトランジスタ領域を形成することができる。図80に示すメモリトランジスタ領域は、図79に示すメモリトランジスタ領域と比較して、Y’−Y方向の長さを短くすることができ、メモリトランジスタ領域の面積を縮小することができる。 In the memory transistor region shown in FIG. 80, the memory transistor region including the conductor layers 320a, 322a, 324a and 326 is obtained by etching away the conductor layers 320, 324 and 326 along the X′-X direction near the center. And two memory transistor regions including a memory transistor region formed of the electric conductor layers 320b, 322b, 324b, and 328 can be formed. The memory transistor region shown in FIG. 80 can have a shorter length in the Y′-Y direction than the memory transistor region shown in FIG. 79, and the area of the memory transistor region can be reduced.

次に、隣接する10個のメモリトランジスタ領域を形成する例を図89に示す。図89は、本実施形態に係る本発明の不揮発性半導体記憶装置のメモリトランジスタ領域の導電体層を上部から見た図である。なお、“A”は、図79で示した隣接する2つのメモリトランジスタのY’−Y方向の長さに相当し、“B”は、X’−X方向の長さに相当する。 Next, an example of forming 10 adjacent memory transistor regions is shown in FIG. FIG. 89 is a top view of the conductor layer in the memory transistor region of the nonvolatile semiconductor memory device according to this embodiment. “A” corresponds to the length in the Y′-Y direction of two adjacent memory transistors shown in FIG. 79, and “B” corresponds to the length in the X′-X direction.

図89に示す本実施形態に係る不揮発性半導体記憶装置において、330は1層目の導電体層、332は2層目の導電体層、334は3層目の導電体層、336a〜336jは4層目の導電体層を示している。 In the nonvolatile semiconductor memory device according to this embodiment shown in FIG. 89, 330 is the first conductor layer, 332 is the second conductor layer, 334 is the third conductor layer, and 336a to 336j are A fourth conductor layer is shown.

図89に示すメモリトランジスタ領域において、図90に示すとおり、4層目の導電体層336a〜336jの間でX’−X方向に沿って導電体層330、332及び334をエッチング除去することにより、導電体層330a〜330j、導電体層332a〜332j、導電体層334a〜334j、導電体層336a〜336jを形成する。 In the memory transistor region shown in FIG. 89, by removing the conductor layers 330, 332 and 334 along the X′-X direction between the fourth conductor layers 336a to 336j as shown in FIG. The conductor layers 330a to 330j, the conductor layers 332a to 332j, the conductor layers 334a to 334j, and the conductor layers 336a to 336j are formed.

図89に示すメモリトランジスタ領域において、4層目の導電体層336a〜336jの間でX’−X方向に沿って導電体層330、332及び334をエッチング除去し、10個のメモリトランジスタ領域を形成した上面図を図90に示す。導電体層330a、332a、334a及び336aからなるメモリトランジスタ領域と、電体層330b、332b、334b及び336bからなるメモリトランジスタ領域と、導電体層330c、332c、334c及び336cからなるメモリトランジスタ領域と、導電体層330d、332d、334d及び336dからなるメモリトランジスタ領域と、導電体層330e、332e、334e及び336eからなるメモリトランジスタ領域と、導電体層330f、332f、334f及び336fからなるメモリトランジスタ領域と、導電体層330g、332g、334g及び336gからなるメモリトランジスタ領域と、導電体層330h、332h、334h及び336hからなるメモリトランジスタ領域と、導電体層330i、332i、334i及び336iからなるメモリトランジスタ領域と、導電体層330j、332j、334j及び336jからなるメモリトランジスタ領域とが形成され、合計10個のメモリトランジスタ領域が形成される。図90に示すメモリトランジスタ領域は、図79に示すメモリトランジスタ領域と比較して、Y’−Y方向の長さを短くすることができ、メモリトランジスタ領域の面積を縮小することができる。 In the memory transistor region shown in FIG. 89, the conductor layers 330, 332, and 334 are etched away along the X′-X direction between the fourth conductor layers 336a to 336j, and 10 memory transistor regions are formed. The formed top view is shown in FIG. A memory transistor region composed of conductor layers 330a, 332a, 334a and 336a; a memory transistor region composed of conductor layers 330b, 332b, 334b and 336b; a memory transistor region composed of conductor layers 330c, 332c, 334c and 336c; , A memory transistor region composed of conductor layers 330d, 332d, 334d and 336d, a memory transistor region composed of conductor layers 330e, 332e, 334e and 336e, and a memory transistor region composed of conductor layers 330f, 332f, 334f and 336f A memory transistor region composed of the conductor layers 330g, 332g, 334g and 336g, a memory transistor region composed of the conductor layers 330h, 332h, 334h and 336h, and a conductor layer 33. i, 332I, and the memory transistor region consisting of 334i and 336i, the conductor layer 330j, 332j, and a memory transistor region consisting 334j and 336j are formed, a total of 10 memory transistor region is formed. The memory transistor region shown in FIG. 90 can have a shorter length in the Y′-Y direction than the memory transistor region shown in FIG. 79, and the area of the memory transistor region can be reduced.

なお、本実施形態においては、4層の導電体層を積層することによって形成され、また10個のメモリトランジスタ領域を形成した場合の本発明の不揮発性半導体記憶装置の例について説明したが、本発明の不揮発性半導体記憶装置は、これに限定されるわけではなく、任意の数の導電体層を積層し、任意の数のメモリトランジスタ領域を同時に形成するようにしてもよい。 In the present embodiment, an example of the nonvolatile semiconductor memory device of the present invention in which four memory layers are stacked and ten memory transistor regions are formed has been described. The nonvolatile semiconductor memory device of the invention is not limited to this, and an arbitrary number of conductor layers may be stacked and an arbitrary number of memory transistor regions may be formed simultaneously.

また、隣接する7個のメモリトランジスタ領域が2列に形成される例を図91及び図92に示す。図91は、本実施形態に係る本発明の不揮発性半導体記憶装置のメモリトランジスタ領域の導電体層を上部から見た図である。なお、“A”は、図79で示した隣接する2つのメモリトランジスタのY’−Y方向の長さに相当し、“B”は、X’−X方向の長さに相当する。 An example in which seven adjacent memory transistor regions are formed in two rows is shown in FIGS. FIG. 91 is a top view of the conductor layer in the memory transistor region of the nonvolatile semiconductor memory device according to the present embodiment. “A” corresponds to the length in the Y′-Y direction of two adjacent memory transistors shown in FIG. 79, and “B” corresponds to the length in the X′-X direction.

図91に示す本実施形態に係る不揮発性半導体記憶装置において、340は1層目の導電体層、342は2層目の導電体層、344は3層目の導電体層、346a〜346jは4層目の導電体層を示している。 In the nonvolatile semiconductor memory device according to this embodiment shown in FIG. 91, 340 is a first conductor layer, 342 is a second conductor layer, 344 is a third conductor layer, and 346a to 346j are A fourth conductor layer is shown.

図91に示すメモリトランジスタ領域において、図92に示すとおり、4層目の導電体層346a〜346nの間でX’−X方向及びY’−Yに沿って導電体層340、342及び344をエッチング除去することにより、導電体層340a〜340n、導電体層342a〜342n、導電体層344a〜344n、導電体層346a〜346nを形成する。 In the memory transistor region shown in FIG. 91, as shown in FIG. 92, the conductor layers 340, 342 and 344 are arranged between the fourth conductor layers 346a to 346n along the X′-X direction and Y′-Y. By removing by etching, conductor layers 340a to 340n, conductor layers 342a to 342n, conductor layers 344a to 344n, and conductor layers 346a to 346n are formed.

図92には、導電体層340a、342a、344a及び346aからなるメモリトランジスタ領域と、電体層340b、342b、344b及び346bからなるメモリトランジスタ領域と、導電体層340c、342c、344c及び346cからなるメモリトランジスタ領域と、導電体層340d、342d、344d及び346dからなるメモリトランジスタ領域と、導電体層340e、342e、344e及び346eからなるメモリトランジスタ領域と、導電体層340f、342f、344f及び346fからなるメモリトランジスタ領域と、導電体層340g、342g、344g及び346gからなるメモリトランジスタ領域と、導電体層340h、342h、344h及び346hからなるメモリトランジスタ領域と、導電体層340i、342i、344i及び346iからなるメモリトランジスタ領域と、導電体層340j、342j、344j及び346jからなるメモリトランジスタ領域とが形成され、合計14個のメモリトランジスタ領域が形成される。図92に示すメモリトランジスタ領域は、図79に示すメモリトランジスタ領域と比較して、Y’−Y方向の長さを短くすることができ、メモリトランジスタ領域の面積を縮小することができる。 FIG. 92 shows a memory transistor region composed of conductor layers 340a, 342a, 344a and 346a, a memory transistor region composed of conductor layers 340b, 342b, 344b and 346b, and conductor layers 340c, 342c, 344c and 346c. A memory transistor region composed of conductor layers 340d, 342d, 344d and 346d, a memory transistor region composed of conductor layers 340e, 342e, 344e and 346e, and conductor layers 340f, 342f, 344f and 346f. A memory transistor region consisting of conductor layers 340g, 342g, 344g and 346g, a memory transistor region consisting of conductor layers 340h, 342h, 344h and 346h, Collector layer 340i, 342I, and the memory transistor region consisting 344i and 346I, the conductor layer 340J, 342j, and a memory transistor region consisting 344j and 346j are formed, a total of 14 pieces of the memory transistor region is formed. The memory transistor region shown in FIG. 92 can have a shorter length in the Y′-Y direction than the memory transistor region shown in FIG. 79, and the area of the memory transistor region can be reduced.

なお、ここでは、4層の導電体層を積層することによって形成され、また14個のメモリトランジスタ領域を形成した場合の本発明の不揮発性半導体記憶装置の例について説明したが、本発明の不揮発性半導体記憶装置は、これに限定されるわけではなく、任意の数の導電体層を積層し、任意の数のメモリトランジスタ領域を同時に形成するようにしてもよい。 Note that here, an example of the nonvolatile semiconductor memory device of the present invention in which four conductor layers are stacked and 14 memory transistor regions are formed has been described. However, the present invention is not limited to this, and an arbitrary number of conductor layers may be stacked, and an arbitrary number of memory transistor regions may be simultaneously formed.

さらに、図11には、上述の図92に示すメモリトランジスタ領域を複数個形成した例を示している。図11に示すように、本実施形態に係る本発明の不揮発性半導体記憶装置においては、複数のメモリトランジスタ領域を効率よく配置することができる。 Further, FIG. 11 shows an example in which a plurality of memory transistor regions shown in FIG. 92 are formed. As shown in FIG. 11, in the nonvolatile semiconductor memory device of the present invention according to this embodiment, a plurality of memory transistor regions can be efficiently arranged.

なお、ここでは、4層の導電体層を積層することによって形成され、また14個のメモリトランジスタ領域を2つ形成した場合の本発明の不揮発性半導体記憶装置の例について説明したが、本発明の不揮発性半導体記憶装置は、これに限定されるわけではなく、任意の数の導電体層を積層し、任意の数のメモリトランジスタ領域を同時に形成するようにしてもよい。 Here, the example of the nonvolatile semiconductor memory device of the present invention in which the four conductor layers are formed and two 14 memory transistor regions are formed has been described. The nonvolatile semiconductor memory device is not limited to this, and an arbitrary number of conductor layers may be stacked, and an arbitrary number of memory transistor regions may be formed simultaneously.

一実施形態に係る本発明の不揮発性半導体記憶装置1の概略構成図である。1 is a schematic configuration diagram of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態に係る不揮発性半導体記憶装置1のメモリトランジスタ領域2の一部の概略構成図である。1 is a schematic configuration diagram of a part of a memory transistor region 2 of a nonvolatile semiconductor memory device 1 according to an embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の一つのメモリストリングス10の概略構造を示す図である。1 is a diagram showing a schematic structure of one memory string 10 of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態における一つのメモリトランジスタMTrの断面構造を示す図である。It is a figure which shows the cross-section of one memory transistor MTr in one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の等価回路図である。1 is an equivalent circuit diagram of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、点線で示したメモリトランジスタMTr3のデータの読み出し動作を行う場合のバイアス状態を示す図である。FIG. 6 is a diagram showing a bias state when performing a data read operation of the memory transistor MTr3 indicated by a dotted line in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、点線で示したメモリトランジスタMTr3のデータの書き込み動作を行う場合のバイアス状態を示した図である。In the nonvolatile semiconductor memory device 1 of the present invention related to one embodiment, it is a diagram showing a bias state when performing a data write operation of the memory transistor MTr3 indicated by a dotted line. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、選択したブロックのメモリトランジスタMTrのデータの消去動作を行う場合の選択ブロックのバイアス状態を示した図である。FIG. 6 is a diagram showing a bias state of a selected block when performing a data erasing operation of a memory transistor MTr of a selected block in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、選択したブロックのメモリトランジスタMTrのデータの消去動作を行う場合の非選択ブロックのバイアス状態を示した図である。In the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention, it is a diagram showing a bias state of a non-selected block when performing data erasing operation of a memory transistor MTr of a selected block. (A)は、一本実施形態に係る本発明の不揮発性半導体記憶装置の一つのメモリストリングスの消去動作のシミュレーションの条件設定を示す図であり、(B)は、(A)の条件設定に基づくメモリストリングスの構造を示す図である。(A) is a figure which shows the condition setting of simulation of the erase | elimination operation | movement of one memory string of the non-volatile semiconductor memory device of this invention which concerns on one embodiment, (B) is the condition setting of (A). It is a figure which shows the structure of the memory string based on it. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する複数のメモリトランジスタ領域を示す図である。FIG. 3 is a diagram showing a plurality of adjacent memory transistor regions in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 図10に示すシミュレーション条件に基づく計算結果を示す図である。It is a figure which shows the calculation result based on the simulation conditions shown in FIG. 図10に示すシミュレーション条件に基づく計算結果を示す図である。It is a figure which shows the calculation result based on the simulation conditions shown in FIG. 一実施形態に係る本発明の不揮発性半導体記憶装置の消去動作のモデルを示した図である。It is the figure which showed the model of erasing operation | movement of the non-volatile semiconductor memory device of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置の消去動作のモデルを示した図である。It is the figure which showed the model of erasing operation | movement of the non-volatile semiconductor memory device of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置の消去動作のモデルを示した図である。It is the figure which showed the model of erasing operation | movement of the non-volatile semiconductor memory device of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の鳥瞰図である。1 is a bird's-eye view of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する2つのメモリトランジスタ領域を示す図である。1 is a diagram showing two adjacent memory transistor regions in a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. FIG. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する2つのメモリトランジスタ領域を示す図である。1 is a diagram showing two adjacent memory transistor regions in a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. FIG. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の製造プロセスを示す図である。It is a figure which shows the manufacturing process of the non-volatile semiconductor memory device 1 of this invention which concerns on one Embodiment. 一実施形態に係る本発明の不揮発性半導体記憶装置1の概略構成図である。1 is a schematic configuration diagram of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1の概略構成図である。1 is a schematic configuration diagram of a nonvolatile semiconductor memory device 1 according to an embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する複数のメモリトランジスタ領域を示す図である。FIG. 3 is a diagram showing a plurality of adjacent memory transistor regions in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する複数のメモリトランジスタ領域を示す図である。FIG. 3 is a diagram showing a plurality of adjacent memory transistor regions in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する複数のメモリトランジスタ領域を示す図である。FIG. 3 is a diagram showing a plurality of adjacent memory transistor regions in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention. 一実施形態に係る本発明の不揮発性半導体記憶装置1において、隣接する複数のメモリトランジスタ領域を示す図である。FIG. 3 is a diagram showing a plurality of adjacent memory transistor regions in the nonvolatile semiconductor memory device 1 according to the embodiment of the present invention.

符号の説明Explanation of symbols

1 不揮発性半導体記憶装置
2 メモリトランジスタ領域
3 ワード線駆動回路
4 ソース側選択ゲート線(SGS)駆動回路
5 ドレイン側選択ゲート線(SGD)駆動回路
6 センスアンプ
10 メモリストリングス
11 柱状の半導体層
12 絶縁膜
13a〜13f 電極
14 P=型領域(P−Well領域)
15 N+領域15
100 半導体基板
102a、102b、102c、102d、102e104 STI
104 P−well領域
106a、106b チャネルインプラ領域
106c n拡散領域
108a及び108b フォトレジストマスク
110a、110b ゲート電極
112a、112b、112c及び112d 浅いN型領域
114a、114b、114c、114d サイドウォール
116a、116b、116c、116d ソース/ドレイン領域
118 窒化珪素膜
120 BPSG膜
122a及び122b コバルトシリサイド
124 BPSG膜
126 ポリシリコン膜
132a、132b、132c 熱酸化膜
134 窒化珪素膜
136a、136b シリコン膜
138 フォトレジスト
140a、140b チタンシリサイド
142 酸化珪素膜
144a、144b、144c タングステンプラグ
146a及び146b 配線
148 酸化珪素膜
150、154、158、162、166 ポリシリコン膜
152、156、160、164 酸化珪素膜
168 窒化珪素膜
170 メモリプラグホール
172 ONO膜
174 フォトレジスト
176 酸化珪素膜
178 窒化珪素膜
180 柱状のアモルファスシリコン層
182 層間絶縁膜(BPSG)
187 酸化珪素膜
188a、188b、188c188d、188e タングステンプラグ
196a、196b タングステンプラグ
200 半導体基板
202a、202b、202c、202d、202e STI
204 P−well領域
206a、206b チャネルインプラ領域
208 濃いn+領域
210 BPSG膜
210 酸化珪素膜
212 ポリシリコン膜
214 窒化珪素膜
216、216b フォトレジストマスク
218 ポリシリコン膜
218a、218b ゲート電極
220a、220b、220c、220d 浅いN型領域
222a、222b、222c、222d サイドウォール
224a、224b、224c、224d サイドウォール
226 窒化珪素膜
228 BPSG膜
230 酸化珪素膜
232a、232b、232c コンタクトホール
234a、234b、234c タングステン(W)プラグ
238、242、246、250 ポリシリコン膜
238、242、246、250 ポリシリコン膜
240、244、248、252 酸化珪素膜
246a、246b、 ポリシリコン膜
248 酸化珪素膜
250a、250c、242a ポリシリコン膜
250c、246b、242a、238 ポリシリコン膜
252 酸化珪素膜
254、254a、254b フォトレジストマスク
256 BPSG膜
262 メモリプラグホール
264 TEOS膜
266 アモルファスシリコン膜
270 ONO膜
277,272a アモルファスシリコン膜
274、274a TEOS膜
282a、282b、282c、282d、282e、282f、282g コンタクトホール
284a、284b、284c、284d、284e、284f、284g タングステンプラグ
320a、322a、324a、326 導電体層
330a、332a、334a、336a 導電体層
330a〜330j、 導電体層
330c、332c、334c、336c 導電体層
330e、332e、334e、336e 導電体層
330g、332g、334g、336g 導電体層
330i、332i、334i、336i 導電体層
336a〜336j 導電体層
340a、340b、340c、340d 窒化珪素膜
BLm ビット線
SL ソース線
MTr1mn〜MTr4mn メモリトランジスタ
SSTrmn、SDTrmn 選択トランジスタ

DESCRIPTION OF SYMBOLS 1 Nonvolatile semiconductor memory device 2 Memory transistor area | region 3 Word line drive circuit 4 Source side selection gate line (SGS) drive circuit 5 Drain side selection gate line (SGD) drive circuit 6 Sense amplifier 10 Memory string 11 Columnar semiconductor layer 12 Insulation Films 13a to 13f Electrode 14P = type region (P-well region)
15 N + region 15
100 Semiconductor substrate 102a, 102b, 102c, 102d, 102e104 STI
104 P-well region 106a, 106b Channel implantation region 106c n + diffusion region 108a and 108b Photoresist mask 110a, 110b Gate electrode 112a, 112b, 112c and 112d Shallow N-type region 114a, 114b, 114c, 114d Side wall 116a, 116b 116c, 116d Source / drain region 118 Silicon nitride film 120 BPSG film 122a and 122b Cobalt silicide 124 BPSG film 126 Polysilicon film 132a, 132b, 132c Thermal oxide film 134 Silicon nitride film 136a, 136b Silicon film 138 Photoresist 140a, 140b Titanium silicide 142 Silicon oxide films 144a, 144b, 144c Tungsten plugs 146a and 146b Wiring 1 48 Silicon oxide film 150, 154, 158, 162, 166 Polysilicon film 152, 156, 160, 164 Silicon oxide film 168 Silicon nitride film 170 Memory plug hole 172 ONO film 174 Photoresist 176 Silicon oxide film 178 Silicon nitride film 180 Columnar Amorphous silicon layer 182 Interlayer insulation film (BPSG)
187 Silicon oxide film 188a, 188b, 188c188d, 188e Tungsten plug 196a, 196b Tungsten plug 200 Semiconductor substrate 202a, 202b, 202c, 202d, 202e STI
204 P-well region 206a, 206b Channel implantation region 208 Dense n + region 210 BPSG film 210 Silicon oxide film 212 Polysilicon film 214 Silicon nitride film 216, 216b Photoresist mask 218 Polysilicon film 218a, 218b Gate electrode 220a, 220b, 220c , 220d Shallow N-type region 222a, 222b, 222c, 222d Side wall 224a, 224b, 224c, 224d Side wall 226 Silicon nitride film 228 BPSG film 230 Silicon oxide film 232a, 232b, 232c Contact hole 234a, 234b, 234c Tungsten (W ) Plugs 238, 242, 246, 250 Polysilicon films 238, 242, 246, 250 Polysilicon films 240, 244, 248, 252 Silicon oxide film 246a, 246b, polysilicon film 248 Silicon oxide film 250a, 250c, 242a Polysilicon film 250c, 246b, 242a, 238 Polysilicon film 252 Silicon oxide film 254, 254a, 254b Photoresist mask 256 BPSG film 262 Memory Plug hole 264 TEOS film 266 Amorphous silicon film 270 ONO film 277, 272a Amorphous silicon film 274, 274a TEOS film 282a, 282b, 282c, 282d, 282e, 282f, 282g Contact hole 284a, 284b, 284c, 284d, 284e, 284f, 284g Tungsten plug 320a, 322a, 324a, 326 Conductor layer 330a, 332a, 334a, 336a Conductor layer 30a to 330j, conductor layer 330c, 332c, 334c, 336c conductor layer 330e, 332e, 334e, 336e conductor layer 330g, 332g, 334g, 336g conductor layer 330i, 332i, 334i, 336i conductor layer 336a to 336j Conductor layer 340a, 340b, 340c, 340d Silicon nitride film BLm Bit line SL Source line MTr1mn to MTr4mn Memory transistor SSTrmn, SDTrmn Select transistor

Claims (50)

電気的に書き換え可能な複数のメモリセルが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、
前記メモリストリングスは、柱状半導体と、前記柱状半導体の周りに形成された第1の絶縁膜と、前記第1の絶縁膜の周りに形成された電荷蓄積層と、前記電荷蓄積層の周りに形成された第2の絶縁膜と、前記第2の絶縁膜の周りに形成された第1乃至第nの電極(nは2以上の自然数)とを有しており、
前記メモリストリングスの前記第1乃至第nの電極と、別の前記メモリストリングスの前記第1乃至第nの電極とは、それぞれ、2次元的に広がる第1乃至第nの導電体層であることを特徴とする不揮発性半導体記憶装置。
A non-volatile semiconductor memory device having a plurality of memory strings in which a plurality of electrically rewritable memory cells are connected in series,
The memory strings are formed around a columnar semiconductor, a first insulating film formed around the columnar semiconductor, a charge storage layer formed around the first insulating film, and around the charge storage layer A second insulating film formed, and first to n-th electrodes (n is a natural number of 2 or more) formed around the second insulating film,
The first to n-th electrodes of the memory string and the first to n-th electrodes of another memory string are first to n-th conductor layers that extend two-dimensionally, respectively. A non-volatile semiconductor memory device.
前記2次元的に広がる第1乃至第nの導電体層は、それぞれ、板状の導電体層であることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 2. The nonvolatile semiconductor memory device according to claim 1, wherein each of the first to n-th conductive layers extending two-dimensionally is a plate-shaped conductive layer. 前記複数のメモリストリングスは、前記柱状半導体に垂直な面内にマトリクス状に配置されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 2. The nonvolatile semiconductor memory device according to claim 1, wherein the plurality of memory strings are arranged in a matrix in a plane perpendicular to the columnar semiconductor. 前記2次元的に広がる第1乃至第nの導電体層は、それぞれ、絶縁体を介して積層されており、前記前記2次元的に広がる第1乃至第nの導電体層内に前記複数のメモリストリングスがアレイ状に配置されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The two-dimensionally extending first to nth conductor layers are stacked via an insulator, and the plurality of two-dimensionally extending first to nth conductor layers are included in the plurality of conductor layers. The nonvolatile semiconductor memory device according to claim 1, wherein the memory strings are arranged in an array. 前記電荷蓄積層は、絶縁膜であることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the charge storage layer is an insulating film. 前記第1の絶縁膜は、酸化珪素膜であり、前記電荷蓄積層は、窒化珪素膜であり、且つ前記第2の絶縁膜は、酸化珪素膜であることを特徴とする請求項5に記載の不揮発性半導体記憶装置。 6. The first insulating film is a silicon oxide film, the charge storage layer is a silicon nitride film, and the second insulating film is a silicon oxide film. Nonvolatile semiconductor memory device. 前記柱状半導体は、円柱又は角柱であることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the columnar semiconductor is a cylinder or a prism. 前記柱状の半導体は、半導体基板に垂直に形成されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the columnar semiconductor is formed perpendicular to a semiconductor substrate. 前記メモリストリングスの前記第1乃至第nの電極を形成する導電体層は、端部において階段状に形成されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 2. The nonvolatile semiconductor memory device according to claim 1, wherein the conductor layer forming the first to nth electrodes of the memory string is formed in a stepped shape at an end portion. 3. 前記電荷蓄積層は、前記柱状半導体と前記メモリストリングスの前記第1乃至第nの電極との間に局在することを特徴とする請求項1に記載の不揮発性半導体記憶装置。 2. The nonvolatile semiconductor memory device according to claim 1, wherein the charge storage layer is localized between the columnar semiconductor and the first to nth electrodes of the memory string. 前記電荷蓄積層は、導電体であることを特徴とする請求項10に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 10, wherein the charge storage layer is a conductor. 前記メモリストリングスは、前記メモリストリングスの一端に接続された第1のトランジスタと、前記メモリストリングスの他端に接続された第2のトランジスタとを含むことを特徴とする請求項1に記載の不揮発性半導体記憶装置。 2. The nonvolatile memory according to claim 1, wherein the memory string includes a first transistor connected to one end of the memory string and a second transistor connected to the other end of the memory string. Semiconductor memory device. 前記第1のトランジスタのゲート電極同士は、同一導電層によって形成されていることを特徴とする請求項12に記載の不揮発性半導体記憶装置。 13. The nonvolatile semiconductor memory device according to claim 12, wherein the gate electrodes of the first transistors are formed of the same conductive layer. 前記第1のトランジスタのソースが接続されている前記半導体基板の拡散層の部分は、n−型であり、且つn+拡散層に直接接続されていることを特徴とする請求項12に記載の不揮発性半導体記憶装置。 The nonvolatile layer according to claim 12, wherein a portion of the diffusion layer of the semiconductor substrate to which the source of the first transistor is connected is n− type and is directly connected to the n + diffusion layer. Semiconductor memory device. 前記第1のトランジスタのソースが接続されている前記半導体基板の拡散層の部分は、p−型であり、且つp+拡散層に直接接続されていることを特徴とする請求項12に記載の不揮発性半導体記憶装置。 The nonvolatile layer according to claim 12, wherein a portion of the diffusion layer of the semiconductor substrate to which the source of the first transistor is connected is p-type and is directly connected to the p + diffusion layer. Semiconductor memory device. 前記メモリストリングスのソースは、素子分離層が形成されていないことを特徴とする請求項4に記載の不揮発性半導体記憶装置。 5. The nonvolatile semiconductor memory device according to claim 4, wherein an element isolation layer is not formed at a source of the memory string. 前記メモリストリングスのソースは、別の前記メモリストリングスのソースと素子分離層により電気的に絶縁されていることを特徴とする請求項16に記載の不揮発性半導体記憶装置。 17. The nonvolatile semiconductor memory device according to claim 16, wherein the source of the memory string is electrically insulated from another source of the memory string by an element isolation layer. 前記柱状半導体は、n−型半導体であることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the columnar semiconductor is an n− type semiconductor. 前記複数のメモリセルは、ディプレション型のトランジスタであることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the plurality of memory cells are depletion type transistors. 更に、前記半導体基板の前記拡散層の上に絶縁膜を介してポリシリコンを備え、前記柱状半導体は、前記ポリシリコンと前記半導体基板上の前記n+拡散層の両方と接続していることを特徴とする請求項14に記載の不揮発性半導体記憶装置。 Further, polysilicon is provided on the diffusion layer of the semiconductor substrate via an insulating film, and the columnar semiconductor is connected to both the polysilicon and the n + diffusion layer on the semiconductor substrate. The nonvolatile semiconductor memory device according to claim 14. 前記電荷蓄積層は、ナノ結晶を含む膜を有することを特徴とする請求項1に記載の不揮発性半導体記憶装置 The nonvolatile semiconductor memory device according to claim 1, wherein the charge storage layer includes a film containing nanocrystals. 前記メモリストリングスは、前記柱状半導体の中心軸に対して概略対称形状を有することを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The nonvolatile semiconductor memory device according to claim 1, wherein the memory strings have a substantially symmetrical shape with respect to a central axis of the columnar semiconductor. 前記メモリストリングスの前記第1乃至第nの電極は、それぞれ、ワード線であり、前記メモリストリングスの前記第1乃至第nの電極は、それぞれ、同一のワード線駆動回路によって駆動されることを特徴とする請求項1に記載の不揮発性半導体記憶装置。 The first to nth electrodes of the memory string are each a word line, and the first to nth electrodes of the memory string are each driven by the same word line driving circuit. The nonvolatile semiconductor memory device according to claim 1. 前記複数のメモリストリングスのドレインに接続されたビット線は、それぞれ、同一のセンスアンプに接続されていることを特徴とする請求項23に記載の不揮発性半導体記憶装置。 24. The nonvolatile semiconductor memory device according to claim 23, wherein the bit lines connected to the drains of the plurality of memory strings are each connected to the same sense amplifier. 前記メモリストリングスの前記第1乃至第nの電極のそれぞれの間には、第4の絶縁膜が形成されており、
前記メモリストリングスの前記第1乃至第nの電極及び前記第4の絶縁膜の端部は、階段状に形成されていることを特徴とする請求項1に記載の不揮発性半導体記憶装置。
A fourth insulating film is formed between each of the first to nth electrodes of the memory string,
2. The nonvolatile semiconductor memory device according to claim 1, wherein end portions of the first to nth electrodes and the fourth insulating film of the memory string are formed in a stepped shape.
前記メモリストリングスの前記第1乃至第nの電極のそれぞれの間には、第4の絶縁膜が形成されており、
前記メモリストリングスの前記第1乃至第nの電極及び前記第4の絶縁膜の端部は、階段状に形成されている端部と階段状に形成されていない端部とを含むことを特徴とする請求項1に記載の不揮発性半導体記憶装置。
A fourth insulating film is formed between each of the first to nth electrodes of the memory string,
Ends of the first to nth electrodes and the fourth insulating film of the memory string include an end portion formed in a step shape and an end portion not formed in a step shape. The nonvolatile semiconductor memory device according to claim 1.
半導体基板上に導電性不純物の拡散領域を形成し、
前記半導体基板上に第1の絶縁膜と導電体とを交互に複数形成し、
前記複数の第1の絶縁膜と前記導電体とに複数のホールを形成し、
前記ホールの表面に第2の絶縁膜を形成し、
前記ホールの底部にある前記第2の絶縁膜をエッチングし、
前記ホールに柱状半導体を形成することを特徴とする不揮発性半導体記憶装置の製造方法。
Forming a conductive impurity diffusion region on the semiconductor substrate;
A plurality of first insulating films and conductors are alternately formed on the semiconductor substrate,
Forming a plurality of holes in the plurality of first insulating films and the conductor;
Forming a second insulating film on the surface of the hole;
Etching the second insulating film at the bottom of the hole;
A method of manufacturing a nonvolatile semiconductor memory device, comprising: forming a columnar semiconductor in the hole.
前記複数の導電体によって第1乃至第nの電極が形成され、
前記柱状半導体、並びに交互に形成された複数の前記第2の絶縁膜及び前記第1乃至第nの電極によって、電気的に書き換え可能な複数のメモリセルが直列に接続されたメモリストリングスを形成することを特徴とする請求項27に記載の不揮発性半導体記憶装置の製造方法。
First to nth electrodes are formed by the plurality of conductors,
A memory string in which a plurality of electrically rewritable memory cells are connected in series is formed by the columnar semiconductor, the plurality of alternately formed second insulating films, and the first to nth electrodes. 28. A method of manufacturing a nonvolatile semiconductor memory device according to claim 27.
前記複数のメモリストリングスは、前記柱状半導体に垂直な面内にマトリクス状に配置されていることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 30. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the plurality of memory strings are arranged in a matrix in a plane perpendicular to the columnar semiconductor. 前記複数の導電体は、それぞれ、2次元的に広がっており、前記複数の導電体層に前記複数のメモリストリングスがアレイ状に配置されていることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 The nonvolatile memory according to claim 28, wherein each of the plurality of conductors extends two-dimensionally, and the plurality of memory strings are arranged in an array on the plurality of conductor layers. Manufacturing method of semiconductor memory device. 前記第2の絶縁膜は、電荷蓄積層を含むことを特徴とする請求項27に記載の不揮発性半導体記憶装置の製造方法。 28. The method of manufacturing a nonvolatile semiconductor memory device according to claim 27, wherein the second insulating film includes a charge storage layer. 前記第2の絶縁膜は、酸化珪素膜、窒化珪素膜及び酸化珪素膜が順に積層されてなることを特徴とする請求項27に記載の不揮発性半導体記憶装置の製造方法。 28. The method of manufacturing a nonvolatile semiconductor memory device according to claim 27, wherein the second insulating film is formed by sequentially stacking a silicon oxide film, a silicon nitride film, and a silicon oxide film. 前記柱状半導体は、円柱又は角柱であることを特徴とする請求項27に記載の不揮発性半導体記憶装置の製造方法。 28. The method of manufacturing a nonvolatile semiconductor memory device according to claim 27, wherein the columnar semiconductor is a cylinder or a prism. 前記柱状の半導体は、前記半導体基板に垂直に形成されていることを特徴とする請求項27に記載の不揮発性半導体記憶装置の製造方法。 28. The method of manufacturing a nonvolatile semiconductor memory device according to claim 27, wherein the columnar semiconductor is formed perpendicular to the semiconductor substrate. 前記メモリストリングスの前記第1乃至第nの電極を形成する導電体層は、端部において階段状に形成されていることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 29. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the conductor layer forming the first to nth electrodes of the memory string is formed in a stepped shape at an end portion. 前記メモリストリングスは、前記メモリストリングスの一端に接続された第1のトランジスタと、前記メモリストリングスの他端に接続された第2のトランジスタとを含むことを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 The nonvolatile memory of claim 28, wherein the memory string includes a first transistor connected to one end of the memory string and a second transistor connected to the other end of the memory string. Manufacturing method of semiconductor memory device. 前記第1のトランジスタのゲート電極同士は、同一導電層によって形成されていることを特徴とする請求項36に記載の不揮発性半導体記憶装置の製造方法。 37. The method of manufacturing a nonvolatile semiconductor memory device according to claim 36, wherein the gate electrodes of the first transistors are formed of the same conductive layer. 前記第1のトランジスタのソースが接続されている前記半導体基板の拡散層の部分は、n−型であり、且つ前記半導体基板のn+型の拡散層に直接に接続されていることを特徴とする請求項36に記載の不揮発性半導体記憶装置の製造方法。 The diffusion layer portion of the semiconductor substrate to which the source of the first transistor is connected is n− type, and is directly connected to the n + type diffusion layer of the semiconductor substrate. 37. A method for manufacturing a nonvolatile semiconductor memory device according to claim 36. 前記第1のトランジスタのソースが接続されている前記半導体基板の拡散層の部分は、n−型であり、且つ前記半導体基板のn+型の拡散層に直接に接続されていることを特徴とする請求項36に記載の不揮発性半導体記憶装置の製造方法。 The diffusion layer portion of the semiconductor substrate to which the source of the first transistor is connected is n− type, and is directly connected to the n + type diffusion layer of the semiconductor substrate. 37. A method for manufacturing a nonvolatile semiconductor memory device according to claim 36. 前記複数のメモリストリングスのソース間は、素子分離層が形成されていないことを特徴とする請求項30に記載の不揮発性半導体記憶装置の製造方法。 31. The method of manufacturing a nonvolatile semiconductor memory device according to claim 30, wherein an element isolation layer is not formed between the sources of the plurality of memory strings. 前記メモリストリングスのソースは、別の前記メモリストリングスのソースと素子分離層により電気的に絶縁されていることを特徴とする請求項40に記載の不揮発性半導体記憶装置の製造方法。 41. The method of manufacturing a nonvolatile semiconductor memory device according to claim 40, wherein the source of the memory string is electrically insulated from another source of the memory string by an element isolation layer. 前記柱状半導体は、n−型半導体であることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 29. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the columnar semiconductor is an n-type semiconductor. 前記複数のメモリセルは、ディプレション型のトランジスタであることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 30. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the plurality of memory cells are depletion type transistors. 更に、前記半導体基板の前記拡散層の上に絶縁膜を介してポリシリコンを備え、前記柱状半導体は、前記ポリシリコンと前記半導体基板上の前記n+拡散層の両方と接続していることを特徴とする請求項39に記載の不揮発性半導体記憶装置の製造方法。 Further, polysilicon is provided on the diffusion layer of the semiconductor substrate via an insulating film, and the columnar semiconductor is connected to both the polysilicon and the n + diffusion layer on the semiconductor substrate. 40. A method of manufacturing a nonvolatile semiconductor memory device according to claim 39. 前記電荷蓄積層は、ナノ結晶を含む膜を有することを特徴とする請求項31に記載の不揮発性半導体記憶装置の製造方法。 32. The method of manufacturing a nonvolatile semiconductor memory device according to claim 31, wherein the charge storage layer includes a film containing nanocrystals. 前記メモリストリングスは、前記柱状半導体の中心軸に対して概略対称形状を有することを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 29. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the memory strings have a substantially symmetrical shape with respect to a central axis of the columnar semiconductor. 前記メモリストリングスの前記第1乃至第nの電極は、それぞれ、ワード線であり、前記メモリストリングスの前記第1乃至第nの電極は、それぞれ、同一のワード線駆動回路によって駆動され、且つ、前記メモリストリングスの前記第1乃至第nの電極は、同一のセンスアンプに接続されていることを特徴とする請求項28に記載の不揮発性半導体記憶装置の製造方法。 The first to nth electrodes of the memory string are each a word line, the first to nth electrodes of the memory string are respectively driven by the same word line driving circuit, and 29. The method of manufacturing a nonvolatile semiconductor memory device according to claim 28, wherein the first to nth electrodes of the memory string are connected to the same sense amplifier. 前記複数のメモリストリングスのドレインに接続されたビット線は、それぞれ、同一のセンスアンプに接続されていることを特徴とする請求項47に記載の不揮発性半導体記憶装置の製造方法。 48. The method of manufacturing a nonvolatile semiconductor memory device according to claim 47, wherein the bit lines connected to the drains of the plurality of memory strings are each connected to the same sense amplifier. 前記メモリストリングスの前記第1乃至第nの電極及び前記第1の絶縁膜の端部を階段状に加工する工程を含むことを特徴とする請求項28に記載の不揮発性半導体記憶装置。 29. The nonvolatile semiconductor memory device according to claim 28, further comprising a step of processing the first to nth electrodes of the memory string and end portions of the first insulating film in a stepped manner. 前記メモリストリングスの前記第1乃至第nの電極及び第1の絶縁膜を前記半導体基板に対して水平方向に分離する工程を含むことを特徴とする請求項49に記載の不揮発性半導体記憶装置の製造方法。

50. The nonvolatile semiconductor memory device according to claim 49, further comprising a step of separating the first to nth electrodes and the first insulating film of the memory string in a horizontal direction with respect to the semiconductor substrate. Production method.

JP2006086674A 2006-03-27 2006-03-27 Nonvolatile semiconductor memory device and manufacturing method thereof Active JP5016832B2 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
JP2006086674A JP5016832B2 (en) 2006-03-27 2006-03-27 Nonvolatile semiconductor memory device and manufacturing method thereof
US11/654,551 US7936004B2 (en) 2006-03-27 2007-01-18 Nonvolatile semiconductor memory device and manufacturing method thereof
CNB2007100884708A CN100550392C (en) 2006-03-27 2007-03-27 Non-volatile memory semiconductor device and manufacture method thereof
KR1020070029906A KR100884861B1 (en) 2006-03-27 2007-03-27 Nonvolatile semiconductor memory device and manufacturing method thereof
US13/064,559 US8551838B2 (en) 2006-03-27 2011-03-31 Nonvolatile semicondutor memory device and manufacturing method thereof
US13/198,359 US9064735B2 (en) 2006-03-27 2011-08-04 Nonvolatile semiconductor memory device and manufacturing method thereof
US14/724,853 US9748260B2 (en) 2006-03-27 2015-05-29 Nonvolatile semiconductor memory device and manufacturing method thereof
US15/666,653 US10211219B2 (en) 2006-03-27 2017-08-02 Nonvolatile semiconductor memory device and manufacturing method thereof
US16/245,271 US10916559B2 (en) 2006-03-27 2019-01-11 Nonvolatile semiconductor memory device and manufacturing method thereof
US17/141,504 US11362106B2 (en) 2006-03-27 2021-01-05 Manufacturing method of a nonvolatile semiconductor memory device
US17/141,534 US11374021B2 (en) 2006-03-27 2021-01-05 Manufacturing method of a nonvolatile semiconductor memory device
US17/744,571 US11903205B2 (en) 2006-03-27 2022-05-13 Method for reading data of a first memory cell transistor of a nonvolatile semiconductor memory device
US17/750,207 US11903207B2 (en) 2006-03-27 2022-05-20 Method for writing data of a first memory cell transistor of a nonvolatile semiconductor memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006086674A JP5016832B2 (en) 2006-03-27 2006-03-27 Nonvolatile semiconductor memory device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2007266143A true JP2007266143A (en) 2007-10-11
JP5016832B2 JP5016832B2 (en) 2012-09-05

Family

ID=38638863

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006086674A Active JP5016832B2 (en) 2006-03-27 2006-03-27 Nonvolatile semiconductor memory device and manufacturing method thereof

Country Status (4)

Country Link
US (10) US7936004B2 (en)
JP (1) JP5016832B2 (en)
KR (1) KR100884861B1 (en)
CN (1) CN100550392C (en)

Cited By (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008171918A (en) * 2007-01-10 2008-07-24 Toshiba Corp Nonvolatile semiconductor storage device and method for manufacturing the same
WO2009047951A1 (en) 2007-10-12 2009-04-16 Sharp Kabushiki Kaisha Mobile telephone
JP2009111049A (en) * 2007-10-29 2009-05-21 Toshiba Corp Nonvolatile semiconductor storage device and its manufacturing method
WO2009075370A1 (en) 2007-12-11 2009-06-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
WO2009084206A1 (en) * 2007-12-27 2009-07-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP2009158775A (en) * 2007-12-27 2009-07-16 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2009224574A (en) * 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP2009224465A (en) * 2008-03-14 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
WO2009119527A1 (en) 2008-03-26 2009-10-01 株式会社 東芝 Semiconductor memory and method for manufacturing the same
JP2009224466A (en) * 2008-03-14 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device
JP2009224468A (en) * 2008-03-14 2009-10-01 Toshiba Corp Non-volatile semiconductor memory device
JP2009238871A (en) * 2008-03-26 2009-10-15 Toshiba Corp Nonvolatile semiconductor memory device
JP2009267243A (en) * 2008-04-28 2009-11-12 Toshiba Corp Non-volatile semiconductor storage device and method of manufacturing the same
JP2009266946A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
JP2009266944A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
JP2009266281A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three dimensional stacked nonvolatile semiconductor memory
JP2009266280A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three dimensional stacked nonvolatile semiconductor memory
JP2009266945A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
JP2009277770A (en) * 2008-05-13 2009-11-26 Toshiba Corp Non-volatile semiconductor memory device and its production process
JP2009283498A (en) * 2008-05-19 2009-12-03 Toshiba Corp Nonvolatile storage and manufacturing method thereof
JP2009295617A (en) * 2008-06-02 2009-12-17 Toshiba Corp Nonvolatile semiconductor memory device
WO2010004706A1 (en) 2008-07-11 2010-01-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010010596A (en) * 2008-06-30 2010-01-14 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method
JP2010034112A (en) * 2008-07-25 2010-02-12 Toshiba Corp Semiconductor memory device
JP2010040122A (en) * 2008-08-06 2010-02-18 Toshiba Corp Method of driving nonvolatile semiconductor memory device
WO2010035609A1 (en) 2008-09-26 2010-04-01 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2010098235A (en) * 2008-10-20 2010-04-30 Toshiba Corp Non-volatile semiconductor storage device and method of manufacturing the same
JP2010102755A (en) * 2008-10-21 2010-05-06 Toshiba Corp Three-dimensionally stacked nonvolatile semiconductor memory
JP2010114113A (en) * 2008-11-04 2010-05-20 Toshiba Corp Semiconductor memory device
JP2010118530A (en) * 2008-11-13 2010-05-27 Toshiba Corp Nonvolatile semiconductor memory device
JP2010140996A (en) * 2008-12-10 2010-06-24 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010157734A (en) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd Semiconductor memory device, and method of forming the same
JP2010161132A (en) * 2009-01-07 2010-07-22 Toshiba Corp Nonvolatile semiconductor storage device and method for manufacturing the same
JP2010165982A (en) * 2009-01-19 2010-07-29 Hitachi Ltd Semiconductor storage device
JP2010171185A (en) * 2009-01-22 2010-08-05 Toshiba Corp Nonvolatile semiconductor memory device and method for manufacturing the same
JP2010192589A (en) * 2009-02-17 2010-09-02 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010199314A (en) * 2009-02-25 2010-09-09 Toshiba Corp Non-volatile semiconductor memory device and method for manufacturing the same
JP2010199311A (en) * 2009-02-25 2010-09-09 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010199312A (en) * 2009-02-25 2010-09-09 Toshiba Corp Non-volatile semiconductor memory device and method for manufacturing the same
US7799672B2 (en) 2009-02-18 2010-09-21 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JP2010219409A (en) * 2009-03-18 2010-09-30 Toshiba Corp Nonvolatile semiconductor storage device
JP2010225222A (en) * 2009-03-23 2010-10-07 Toshiba Corp Non-volatile semiconductor storage device
JP2010225684A (en) * 2009-03-19 2010-10-07 Toshiba Corp Semiconductor memory device
US7851849B2 (en) 2008-06-03 2010-12-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device and method for manufacturing same
JP2011014638A (en) * 2009-06-30 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2011029234A (en) * 2009-07-21 2011-02-10 Toshiba Corp Nonvolatile semiconductor memory device
JP2011049206A (en) * 2009-08-25 2011-03-10 Toshiba Corp Manufacturing method of semiconductor device, and semiconductor device
JP2011054802A (en) * 2009-09-02 2011-03-17 Toshiba Corp Nonvolatile semiconductor memory device, and method of manufacturing the same
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP2011060991A (en) * 2009-09-10 2011-03-24 Toshiba Corp Nonvolatile semiconductor memory device and method for manufacturing same
JP2011065723A (en) * 2009-09-18 2011-03-31 Toshiba Corp Nonvolatile semiconductor memory device
US7933151B2 (en) 2008-11-14 2011-04-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2011096341A (en) * 2009-11-02 2011-05-12 Toshiba Corp Nonvolatile semiconductor memory
CN102104034A (en) * 2009-12-18 2011-06-22 三星电子株式会社 Three-dimensional semiconductor device
JP2011138579A (en) * 2009-12-28 2011-07-14 Toshiba Corp Non-volatile semiconductor memory device
US7982261B2 (en) 2008-11-05 2011-07-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
KR101054532B1 (en) 2009-02-16 2011-08-04 가부시끼가이샤 도시바 Nonvolatile Semiconductor Memory and Manufacturing Method Thereof
US8013383B2 (en) 2008-02-25 2011-09-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device including a plurality of memory strings
JP2011187110A (en) * 2010-03-05 2011-09-22 Toshiba Corp Method for operating semiconductor memory device
US8044448B2 (en) 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8048798B2 (en) 2008-03-04 2011-11-01 Kabushiki Kaisha Toshiba Method for manufacturing a nonvolatile semiconductor storage device where memory cells are arranged three dimensionally
US8084807B2 (en) 2008-08-19 2011-12-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8089121B2 (en) 2008-11-17 2012-01-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2012004249A (en) * 2010-06-15 2012-01-05 Toshiba Corp Semiconductor memory and method of manufacturing the same
US8148769B2 (en) 2008-09-25 2012-04-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8164956B2 (en) 2009-09-14 2012-04-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8169016B2 (en) 2009-09-04 2012-05-01 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8169826B2 (en) 2009-07-15 2012-05-01 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8174890B2 (en) 2009-03-11 2012-05-08 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8178919B2 (en) 2009-07-21 2012-05-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
JP2012094230A (en) * 2010-10-26 2012-05-17 Hynix Semiconductor Inc Semiconductor memory device and operation method thereof
US8187938B2 (en) 2009-04-13 2012-05-29 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US8188530B2 (en) 2009-06-24 2012-05-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8194467B2 (en) 2009-09-16 2012-06-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8193571B2 (en) 2008-08-18 2012-06-05 Kabushiki Kaisha Toshiba Stacked type nonvolatile semiconductor memory device and method of manufacturing same
US8198669B2 (en) 2009-01-09 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US8199573B2 (en) 2009-09-25 2012-06-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8203884B2 (en) 2009-07-21 2012-06-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8207029B2 (en) 2009-02-02 2012-06-26 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8207565B2 (en) 2009-07-01 2012-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US8211783B2 (en) 2010-01-25 2012-07-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device including a patterned SiOC film as a mask
US8217446B2 (en) 2008-11-10 2012-07-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8218358B2 (en) 2009-11-02 2012-07-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for driving same
US8222122B2 (en) 2009-03-31 2012-07-17 Samsung Electronics Co., Ltd. Method of forming nonvolatile memory device
US8233323B2 (en) 2009-02-24 2012-07-31 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8247860B2 (en) 2009-07-01 2012-08-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8258033B2 (en) 2009-08-10 2012-09-04 Kabushiki Kaisha Toshiba Method of manufacturing nonvolatile semiconductor memory device
US8264031B2 (en) 2009-02-06 2012-09-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8295091B2 (en) 2010-03-23 2012-10-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8310875B2 (en) 2010-09-22 2012-11-13 Kabushiki Kaisha Toshiba Semiconductor memory device
US8315097B2 (en) 2010-09-21 2012-11-20 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8330216B2 (en) 2008-01-08 2012-12-11 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method of manufacturing the same
US8335111B2 (en) 2010-07-12 2012-12-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8335109B2 (en) 2009-01-06 2012-12-18 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for operating the same
US8338876B2 (en) 2008-12-17 2012-12-25 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8338956B2 (en) 2009-11-09 2012-12-25 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US8338882B2 (en) 2010-03-10 2012-12-25 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP2013004690A (en) * 2011-06-15 2013-01-07 Toshiba Corp Three-dimensional semiconductor device and method of manufacturing the same
JP2013004778A (en) * 2011-06-17 2013-01-07 Toshiba Corp Semiconductor storage device
US8361862B2 (en) 2009-03-03 2013-01-29 Kabushiki Kaisha Toshiba Method for manufacturing nonvolatile semiconductor memory device and nonvolatile semiconductor memory device
US8373222B2 (en) 2008-09-10 2013-02-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device with alternately stacked isolation dielectric films and electrode films
US8374033B2 (en) 2009-07-06 2013-02-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8378406B2 (en) 2009-12-17 2013-02-19 Kabushiki Kaisha Toshiba Multilayer stacked type nonvolatile semiconductor memory device
JP2013042179A (en) * 2012-11-15 2013-02-28 Toshiba Corp Nonvolatile semiconductor storage device
US8390055B2 (en) 2010-03-03 2013-03-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2013055206A (en) * 2011-09-03 2013-03-21 Takehide Shirato Semiconductor device and method of manufacturing the same
US8426302B2 (en) 2009-09-17 2013-04-23 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US8426908B2 (en) 2009-09-07 2013-04-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8427878B2 (en) 2010-02-17 2013-04-23 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8436414B2 (en) 2009-02-17 2013-05-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor stacked memory device having two semiconductor pillars in a through hole and method for manufacturing same
US8507972B2 (en) 2009-06-25 2013-08-13 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8541866B2 (en) 2009-03-24 2013-09-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
US8559224B2 (en) 2010-02-17 2013-10-15 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US8570805B2 (en) 2010-02-18 2013-10-29 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8581323B2 (en) 2010-01-08 2013-11-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing same
US8614917B2 (en) 2010-02-05 2013-12-24 Samsung Electronics Co., Ltd. Vertically-integrated nonvolatile memory devices having laterally-integrated ground select transistors
US8624317B2 (en) 2011-02-25 2014-01-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8633535B2 (en) 2010-06-09 2014-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory
US8633526B2 (en) 2010-11-10 2014-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8644046B2 (en) 2009-02-10 2014-02-04 Samsung Electronics Co., Ltd. Non-volatile memory devices including vertical NAND channels and methods of forming the same
US8653582B2 (en) 2009-03-24 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8698313B2 (en) 2009-11-04 2014-04-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory apparatus
US8717817B2 (en) 2010-06-16 2014-05-06 Samsung Electronics Co., Ltd. Method for multi-page programming a non-volatile memory device by simultaneously activating a plurality of selection lines based on programmed data
US8718612B2 (en) 2011-03-08 2014-05-06 Bank Of American Corporation Real-time analysis involving real estate listings
US8721337B2 (en) 2011-03-08 2014-05-13 Bank Of America Corporation Real-time video image analysis for providing virtual landscaping
US8724397B2 (en) 2011-10-19 2014-05-13 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method of reading data thereof
US8730717B2 (en) 2010-05-10 2014-05-20 Hitachi, Ltd. Semiconductor device
US8730738B2 (en) 2011-04-05 2014-05-20 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of operating nonvolatile memory devices
US8748969B2 (en) 2008-12-19 2014-06-10 Samsung Electronics Co., Ltd. Non-volatile memory device including dummy electrodes and method of fabricating the same
US8760925B2 (en) 2011-09-26 2014-06-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
JP2014127475A (en) * 2012-12-25 2014-07-07 Hitachi High-Technologies Corp Method of manufacturing semiconductor device
US8779502B2 (en) 2009-06-12 2014-07-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory
US8786007B2 (en) 2008-12-03 2014-07-22 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
JP2014138188A (en) * 2013-01-17 2014-07-28 Samsung Electronics Co Ltd Pad structures and wiring structures in vertical type semiconductor device
US8792282B2 (en) 2010-03-04 2014-07-29 Samsung Electronics Co., Ltd. Nonvolatile memory devices, memory systems and computing systems
US8797777B2 (en) 2011-06-28 2014-08-05 Kabushiki Kaisha Toshiba Semiconductor memory device
US8817538B2 (en) 2011-06-14 2014-08-26 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for erasing data thereof
US8823072B2 (en) 2010-04-15 2014-09-02 Samsung Electronics Co., Ltd. Floating gate type nonvolatile memory device and related methods of manufacture and operation
US8861267B2 (en) 2011-04-22 2014-10-14 Samsung Electronics Co., Ltd. Nonvolatile memory device including memory cell array with upper and lower word line groups
US8873807B2 (en) 2011-03-08 2014-10-28 Bank Of America Corporation Vehicle recognition
US8884355B2 (en) 2009-08-04 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8884356B2 (en) 2012-09-05 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8908431B2 (en) 2010-02-17 2014-12-09 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US8912060B2 (en) 2009-08-06 2014-12-16 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device and apparatus for manufacturing same
US8917558B2 (en) 2010-02-09 2014-12-23 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8916921B2 (en) 2009-02-16 2014-12-23 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device including a dielectric with low permittivity in memory cells arranged in a three dimensional manner
US8923060B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory devices and operating methods thereof
US8922657B2 (en) 2011-03-08 2014-12-30 Bank Of America Corporation Real-time video image analysis for providing security
JP2015026674A (en) * 2013-07-25 2015-02-05 株式会社東芝 Non-volatile memory device and method of manufacturing the same
KR101495806B1 (en) 2008-12-24 2015-02-26 삼성전자주식회사 Non-volatile memory device
KR101495800B1 (en) 2009-04-10 2015-02-27 삼성전자주식회사 Nonvolatile Memory Devices
US8969947B2 (en) 2010-04-27 2015-03-03 Samsung Electronics Co., Ltd. Vertical memory devices with quantum-dot charge storage cells
US8969945B2 (en) 2012-09-05 2015-03-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8981461B2 (en) 2010-03-19 2015-03-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9029938B2 (en) 2013-09-10 2015-05-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9036411B2 (en) 2011-06-02 2015-05-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and data erase method thereof
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US9177809B2 (en) 2013-07-03 2015-11-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9183935B2 (en) 2012-09-21 2015-11-10 Kabushiki Kaisha Toshiba Semiconductor memory device having programmable select transistors within memory units
US9190499B2 (en) 2013-03-25 2015-11-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, capacitance element, and method for manufacturing nonvolatile semiconductor memory device
US9196627B2 (en) 2013-07-30 2015-11-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same
US9209295B2 (en) 2011-12-06 2015-12-08 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
US9230975B2 (en) 2014-03-04 2016-01-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9269445B1 (en) 2014-09-17 2016-02-23 Kabushiki Kaisha Toshiba Semiconductor memory device
US9287388B2 (en) 2012-04-10 2016-03-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9317835B2 (en) 2011-03-08 2016-04-19 Bank Of America Corporation Populating budgets and/or wish lists using real-time video image analysis
US9317860B2 (en) 2011-03-08 2016-04-19 Bank Of America Corporation Collective network of augmented reality users
US9324440B2 (en) 2010-02-09 2016-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9324446B2 (en) 2013-11-15 2016-04-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device, and memory system
US9337319B2 (en) 2014-01-15 2016-05-10 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for manufacturing semiconductor device
KR101624975B1 (en) * 2009-11-17 2016-05-30 삼성전자주식회사 Three dimensional semiconductor memory devices
US9378831B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9385137B2 (en) 2011-06-22 2016-07-05 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
US9425107B2 (en) 2011-03-10 2016-08-23 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US9437307B2 (en) 2010-09-22 2016-09-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9437296B2 (en) 2014-02-03 2016-09-06 Kabushiki Kaisha Toshiba Three-dimensional resistive memory device with adjustable voltage biasing
WO2016139727A1 (en) * 2015-03-02 2016-09-09 株式会社 東芝 Semiconductor storage device and method for manufacturing same
JP2016167325A (en) * 2015-03-09 2016-09-15 株式会社東芝 Semiconductor storage
US9502431B2 (en) 2014-09-17 2016-11-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9530793B2 (en) 2014-03-03 2016-12-27 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
JP2017045492A (en) * 2015-08-27 2017-03-02 株式会社東芝 Semiconductor memory device
US9601505B2 (en) 2014-01-16 2017-03-21 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9679662B1 (en) 2016-02-01 2017-06-13 Kabushiki Kaisha Toshiba Memory device
US9691784B2 (en) 2014-09-05 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor memory device
US9728552B1 (en) 2016-02-09 2017-08-08 Kabushiki Kaisha Toshiba Semiconductor memory device having voids between word lines and a source line
US9741438B2 (en) 2013-09-16 2017-08-22 Samsung Electronics Co., Ltd. Nonvolatile memory device and program method thereof
US9773285B2 (en) 2011-03-08 2017-09-26 Bank Of America Corporation Providing data associated with relationships between individuals and images
US9786683B1 (en) 2016-03-18 2017-10-10 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US9793287B2 (en) 2011-05-20 2017-10-17 Toshiba Memory Corporation Semiconductor wafer with first and second stacked bodies and semiconductor memory device
US9865351B2 (en) 2015-09-11 2018-01-09 Toshiba Memory Corporation Memory system with non-volatile memory device that is capable of single or simulataneous multiple word line selection
US9881685B2 (en) 2010-08-26 2018-01-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
US9905510B2 (en) 2016-02-17 2018-02-27 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9911749B2 (en) 2015-09-09 2018-03-06 Toshiba Memory Corporation Stacked 3D semiconductor memory structure
US9929166B1 (en) 2016-09-12 2018-03-27 Toshiba Memory Corporation Semiconductor device
US9941013B2 (en) 2016-03-15 2018-04-10 Toshiba Memory Corporation Memory device that performs sensing operation during a bit line pre-charge operation to determine adjustment to the bit line charging voltage
US9960173B2 (en) 2016-03-10 2018-05-01 Toshiba Memory Corporation Semiconductor memory device
JP2018513559A (en) * 2015-04-24 2018-05-24 ソニーセミコンダクタソリューションズ株式会社 Socket structure of 3D memory
US10020320B2 (en) 2016-02-24 2018-07-10 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US10020319B2 (en) 2016-01-27 2018-07-10 Toshiba Memory Corporation Semiconductor memory device having pillars on a peripheral region and method of manufacturing the same
US10026748B2 (en) 2016-03-09 2018-07-17 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing same
US10037813B2 (en) 2016-02-12 2018-07-31 Toshiba Memory Corporation Semiconductor memory device
US10049760B2 (en) 2016-09-06 2018-08-14 Toshiba Memory Corporation Programming and verification methods for three-dimensional memory device
US10074665B2 (en) 2015-09-11 2018-09-11 Toshiba Memory Corporation Three-dimensional semiconductor memory device including slit with lateral surfaces having periodicity
US10090312B2 (en) 2015-12-29 2018-10-02 Toshiba Memory Corporation Semiconductor memory device
US10115627B2 (en) 2016-08-31 2018-10-30 Toshiba Memory Corporation Semiconductor device
US10129126B2 (en) 2016-06-08 2018-11-13 Bank Of America Corporation System for predictive usage of resources
US10134749B2 (en) 2016-04-20 2018-11-20 Toshiba Memory Corporation Semiconductor memory device
US10153296B2 (en) 2017-02-24 2018-12-11 Toshiba Memory Corporation Memory device and method for manufacturing same
US10178101B2 (en) 2016-06-08 2019-01-08 Bank Of America Corporation System for creation of alternative path to resource acquisition
US10276585B2 (en) 2016-08-12 2019-04-30 Toshiba Memory Corporation Semiconductor memory device
US10291487B2 (en) 2016-06-08 2019-05-14 Bank Of America Corporation System for predictive acquisition and use of resources
US10347652B2 (en) 2017-03-23 2019-07-09 Toshiba Memory Corporation Semiconductor memory device
US10347650B1 (en) 2018-03-20 2019-07-09 Toshiba Memory Corporation Semiconductor memory device
US10433196B2 (en) 2016-06-08 2019-10-01 Bank Of America Corporation System for tracking resource allocation/usage
US10438801B2 (en) 2017-09-22 2019-10-08 Toshiba Memory Corporation Semiconductor memory device
JP2019186540A (en) * 2018-04-09 2019-10-24 三星電子株式会社Samsung Electronics Co.,Ltd. Vertical memory devices and methods of manufacturing the same
US10468430B2 (en) 2016-03-11 2019-11-05 Toshiba Memory Corporation Semiconductor storage device
US10475806B2 (en) 2017-09-07 2019-11-12 Toshiba Memory Corporation Semiconductor memory device including stacked body with conductivity and insulating members and method for manufacturing the same
US10475809B2 (en) 2016-11-08 2019-11-12 Toshiba Memory Corporation Semiconductor memory device
US10546867B2 (en) 2017-08-28 2020-01-28 Toshiba Memory Corporation Method for manufacturing semiconductor device and semiconductor device
US10581988B2 (en) 2016-06-08 2020-03-03 Bank Of America Corporation System for predictive use of resources
WO2020054109A1 (en) * 2018-09-14 2020-03-19 東芝メモリ株式会社 Integrated circuit device and method for manufacturing integrated circuit device
US10607935B2 (en) 2017-09-15 2020-03-31 Toshiba Memory Corporation Memory device
US10622304B2 (en) 2017-03-22 2020-04-14 Toshiba Memory Corporation Storage device including multiple wiring and electrode layers
JP2020518136A (en) * 2017-04-27 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low dielectric constant oxide and low resistance OP stack for 3D NAND applications
US10714496B2 (en) 2018-05-31 2020-07-14 Toshiba Memory Corporation Semiconductor memory
US10741573B2 (en) 2018-05-31 2020-08-11 Toshiba Memory Corporation Semiconductor memory
US10748920B2 (en) 2018-02-19 2020-08-18 Toshiba Memory Corporation Semiconductor memory device
KR20200124673A (en) 2018-03-02 2020-11-03 도쿄엘렉트론가부시키가이샤 3D semiconductor memory device manufacturing method
US10867641B2 (en) 2018-09-14 2020-12-15 Toshiba Memory Corporation Data latch circuit and semiconductor memory device
US10896915B2 (en) 2018-01-09 2021-01-19 Toshiba Memory Corporation Semiconductor memory device
US10978468B2 (en) 2018-05-31 2021-04-13 Toshiba Memory Corporation Semiconductor memory
US10991708B2 (en) 2016-09-21 2021-04-27 Toshiba Memory Corporation Semiconductor device for preventing an increase in resistance difference of an electrode layer
US11238935B2 (en) 2020-03-18 2022-02-01 Kioxia Corporation Semiconductor memory device
KR20220103108A (en) 2019-11-21 2022-07-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor devices and electronic devices
KR20220128347A (en) 2020-01-17 2022-09-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 A semiconductor device, a method of driving a semiconductor device, and an electronic device
US11574682B2 (en) 2020-07-20 2023-02-07 Kioxia Corporation Semiconductor memory device

Families Citing this family (687)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070029199A (en) * 2004-05-19 2007-03-13 멜버른 헬스 Therapeutic, prophylactic and diagnostic agents for hepatitis b
US20130082232A1 (en) 2011-09-30 2013-04-04 Unity Semiconductor Corporation Multi Layered Conductive Metal Oxide Structures And Methods For Facilitating Enhanced Performance Characteristics Of Two Terminal Memory Cells
US8937292B2 (en) * 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP4821516B2 (en) * 2006-08-31 2011-11-24 旭光電機株式会社 Articulated structure
JP4768557B2 (en) * 2006-09-15 2011-09-07 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2008078404A (en) 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory and manufacturing method thereof
JP5100080B2 (en) * 2006-10-17 2012-12-19 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP4247266B2 (en) * 2006-10-18 2009-04-02 株式会社東芝 Thread ranking apparatus and thread ranking method
JP4939955B2 (en) * 2007-01-26 2012-05-30 株式会社東芝 Nonvolatile semiconductor memory device
JP2008192857A (en) * 2007-02-05 2008-08-21 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method therefor
JP5091526B2 (en) * 2007-04-06 2012-12-05 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2009004510A (en) 2007-06-20 2009-01-08 Toshiba Corp Nonvolatile semiconductor memory device
JP2009016400A (en) 2007-06-29 2009-01-22 Toshiba Corp Multilayer wiring structure and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US20090053268A1 (en) * 2007-08-22 2009-02-26 Depablo Juan J Nanoparticle modified lubricants and waxes with enhanced properties
JP5376789B2 (en) 2007-10-03 2013-12-25 株式会社東芝 Nonvolatile semiconductor memory device and control method of nonvolatile semiconductor memory device
JP5193551B2 (en) * 2007-10-05 2013-05-08 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2009094364A (en) * 2007-10-10 2009-04-30 Toshiba Corp Semiconductor device and method of manufacturing the same
KR101226685B1 (en) * 2007-11-08 2013-01-25 삼성전자주식회사 Vertical type semiconductor device and Method of manufacturing the same
JP2009135328A (en) * 2007-11-30 2009-06-18 Toshiba Corp Nonvolatile semiconductor memory device
JP4468433B2 (en) 2007-11-30 2010-05-26 株式会社東芝 Nonvolatile semiconductor memory device
US8394683B2 (en) 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US7906818B2 (en) * 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP2009224612A (en) * 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor memory device and production method thereof
KR100958798B1 (en) * 2008-04-04 2010-05-24 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR100950479B1 (en) * 2008-05-28 2010-03-31 주식회사 하이닉스반도체 Flash memory device and method of manufacturing the same
US7867831B2 (en) * 2008-05-28 2011-01-11 Hynix Semiconductor Inc. Manufacturing method of flash memory device comprising gate columns penetrating through a cell stack
US7732891B2 (en) * 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
KR101539697B1 (en) 2008-06-11 2015-07-27 삼성전자주식회사 Three Dimensional Memory Device Using Vertical Pillar As Active Region And Methods Of Fabricating And Operating The Same
US7915667B2 (en) * 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
KR101434588B1 (en) 2008-06-11 2014-08-29 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
KR101052921B1 (en) 2008-07-07 2011-07-29 주식회사 하이닉스반도체 Manufacturing method of flash memory device having vertical floating gate
US7910979B2 (en) 2008-07-08 2011-03-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
FR2933802B1 (en) * 2008-07-10 2010-10-15 Commissariat Energie Atomique STRUCTURE AND METHOD FOR PRODUCING A MICROELECTRONIC 3D MEMORY DEVICE OF NAND FLASH TYPE
JP2010027870A (en) * 2008-07-18 2010-02-04 Toshiba Corp Semiconductor memory and manufacturing method thereof
KR101469105B1 (en) 2008-07-24 2014-12-05 삼성전자주식회사 Non-volatile semiconductor memory device and memory system including the same
JP5288936B2 (en) * 2008-08-12 2013-09-11 株式会社東芝 Nonvolatile semiconductor memory device
KR101487524B1 (en) * 2008-08-27 2015-01-29 삼성전자주식회사 Program method of nonvolatile memory device
KR101498676B1 (en) * 2008-09-30 2015-03-09 삼성전자주식회사 3-Dimensional Semiconductor Device
US8395206B2 (en) * 2008-10-09 2013-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR101595486B1 (en) * 2010-01-27 2016-02-18 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR101502585B1 (en) * 2008-10-09 2015-03-24 삼성전자주식회사 Vertical type semiconductor device and forming method of the same
KR101503876B1 (en) * 2009-03-06 2015-03-20 삼성전자주식회사 Nonvolatile memory device
US8013389B2 (en) * 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
KR101483533B1 (en) * 2008-11-06 2015-01-20 삼성전자주식회사 Non-volatile memroy device and method for the same
JP5284044B2 (en) * 2008-11-10 2013-09-11 株式会社東芝 Nonvolatile semiconductor memory device
KR20100052597A (en) * 2008-11-11 2010-05-20 삼성전자주식회사 Vertical type semiconductor device
KR101511764B1 (en) 2008-12-03 2015-04-13 삼성전자주식회사 Nonvolatile memory device
KR101527192B1 (en) 2008-12-10 2015-06-10 삼성전자주식회사 Non-volatile memory device and method for fabricating the same
JP5275052B2 (en) 2009-01-08 2013-08-28 株式会社東芝 Nonvolatile semiconductor memory device
KR101512494B1 (en) 2009-01-09 2015-04-16 삼성전자주식회사 Method of fabricating semiconductor device
JP5550239B2 (en) 2009-01-26 2014-07-16 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20100237122A1 (en) * 2009-03-18 2010-09-23 Dario Toledo Backpack
KR101539699B1 (en) * 2009-03-19 2015-07-27 삼성전자주식회사 Three dimensional nonvolatile memory device and method for forming the same
US7983065B2 (en) * 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8199576B2 (en) * 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
US8351236B2 (en) 2009-04-08 2013-01-08 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a single-sided word line architecture
KR101635504B1 (en) 2009-06-19 2016-07-04 삼성전자주식회사 Program method of non-volatile memory device with three-dimentional vertical channel structure
KR101624980B1 (en) * 2009-06-19 2016-05-27 삼성전자주식회사 Non-Volatile Memory Device
JP2011003833A (en) * 2009-06-22 2011-01-06 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
KR101044019B1 (en) * 2009-06-30 2011-06-24 주식회사 하이닉스반도체 Nonvolatile memory device and manufacturing method of the same
KR101543331B1 (en) * 2009-07-06 2015-08-10 삼성전자주식회사 Method of fabricating vertical structure Non-volatile memory device having metal source line
US8537610B2 (en) * 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
JP2011023464A (en) * 2009-07-14 2011-02-03 Toshiba Corp Semiconductor memory device
KR101524830B1 (en) * 2009-07-20 2015-06-03 삼성전자주식회사 Semiconductor devices and methods forming thereof
KR101635502B1 (en) * 2009-07-22 2016-07-01 삼성전자주식회사 Semiconductor memory device and programming method thereof
KR101660944B1 (en) * 2009-07-22 2016-09-28 삼성전자 주식회사 Vertical type non-volatile memory device and method of manufacturing the same
US8541832B2 (en) * 2009-07-23 2013-09-24 Samsung Electronics Co., Ltd. Integrated circuit memory devices having vertical transistor arrays therein and methods of forming same
KR101549858B1 (en) * 2009-07-31 2015-09-03 삼성전자주식회사 Flash memory device having vertical channel structure
KR101027349B1 (en) * 2009-08-03 2011-04-11 주식회사 하이닉스반도체 Vertical channel type non-volatile memory device and method for fabricating the same
JP5534748B2 (en) 2009-08-25 2014-07-02 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP5052575B2 (en) * 2009-09-01 2012-10-17 株式会社東芝 Nonvolatile semiconductor memory device
KR101698193B1 (en) 2009-09-15 2017-01-19 삼성전자주식회사 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
KR20110035525A (en) * 2009-09-30 2011-04-06 삼성전자주식회사 Nonvolatile memory devices and method for fabricating the same
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
KR101113767B1 (en) * 2009-10-19 2012-02-27 주식회사 하이닉스반도체 3d non-volatile memory device and method for operating and fabricating the same
KR101597686B1 (en) * 2009-11-03 2016-02-25 삼성전자주식회사 3 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
JP2011108921A (en) * 2009-11-19 2011-06-02 Toshiba Corp Non-volatile semiconductor memory device, and method for manufacturing the same
KR101922849B1 (en) * 2009-11-20 2018-11-27 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US8158967B2 (en) 2009-11-23 2012-04-17 Micron Technology, Inc. Integrated memory arrays
JP2011118905A (en) 2009-12-07 2011-06-16 Samsung Electronics Co Ltd Memory card and electronic equipment
KR101623547B1 (en) * 2009-12-15 2016-05-23 삼성전자주식회사 Method for manufacturing rewriteable three dimensional memory device
WO2011081438A2 (en) * 2009-12-31 2011-07-07 한양대학교 산학협력단 Memory having three-dimensional structure and manufacturing method thereof
JP5394270B2 (en) 2010-01-25 2014-01-22 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2011166061A (en) * 2010-02-15 2011-08-25 Toshiba Corp Method of manufacturing semiconductor device
KR101616093B1 (en) * 2010-02-19 2016-04-27 삼성전자주식회사 Nonvolatile memory device conducting repair operation and memory system including the same
KR101652878B1 (en) * 2010-02-22 2016-09-01 삼성전자주식회사 Semiconductor and method of fabricating the same
KR101663566B1 (en) * 2010-03-03 2016-10-07 삼성전자주식회사 Three dimensional semiconductor memory devices and methods of forming the same
JP5144698B2 (en) * 2010-03-05 2013-02-13 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2011198806A (en) * 2010-03-17 2011-10-06 Toshiba Corp Semiconductor memory device and method for manufacturing the same
JP2011199131A (en) * 2010-03-23 2011-10-06 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP5072995B2 (en) * 2010-03-24 2012-11-14 株式会社東芝 Nonvolatile semiconductor memory device
US20110241077A1 (en) * 2010-04-06 2011-10-06 Macronix International Co., Ltd. Integrated circuit 3d memory array and manufacturing method
KR101738533B1 (en) * 2010-05-24 2017-05-23 삼성전자 주식회사 Stacked memory devices and method of manufacturing the same
US20110297912A1 (en) 2010-06-08 2011-12-08 George Samachisa Non-Volatile Memory Having 3d Array of Read/Write Elements with Vertical Bit Lines and Laterally Aligned Active Elements and Methods Thereof
US8526237B2 (en) 2010-06-08 2013-09-03 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements and read/write circuits and method thereof
JP5491982B2 (en) 2010-06-21 2014-05-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2012009701A (en) 2010-06-25 2012-01-12 Toshiba Corp Non volatile semiconductor memory device
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
KR101079300B1 (en) * 2010-07-08 2011-11-04 윤재만 Semiconductor memory device
JP2012028537A (en) 2010-07-22 2012-02-09 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method thereof
JP2012038865A (en) * 2010-08-05 2012-02-23 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
KR101682666B1 (en) 2010-08-11 2016-12-07 삼성전자주식회사 Nonvolatile memory devicwe, channel boosting method thereof, programming method thereof, and memory system having the same
JP5349423B2 (en) 2010-08-20 2013-11-20 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2012059830A (en) * 2010-09-07 2012-03-22 Toshiba Corp Semiconductor memory device
KR101763420B1 (en) 2010-09-16 2017-08-01 삼성전자주식회사 Therr dimensional semiconductor memory devices and methods of fabricating the same
KR20120030815A (en) 2010-09-20 2012-03-29 삼성전자주식회사 Three dimensional semiconductor memory device and method for forming the same
JP2012069606A (en) * 2010-09-21 2012-04-05 Toshiba Corp Nonvolatile semiconductor memory device
JP2012069187A (en) 2010-09-22 2012-04-05 Toshiba Corp Nonvolatile semiconductor memory
JP5422530B2 (en) 2010-09-22 2014-02-19 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2012069679A (en) 2010-09-22 2012-04-05 Toshiba Corp Nonvolatile semiconductor memory device
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
KR101742790B1 (en) * 2010-11-16 2017-06-01 삼성전자주식회사 Nonvolatile memory device, erasing method thereof and memoryb system including the same
US9136005B2 (en) 2010-11-16 2015-09-15 Samsung Electronics Co., Ltd. Erasing methods of three-dimensional nonvolatile memory devices with cell strings and dummy word lines
KR101787041B1 (en) 2010-11-17 2017-10-18 삼성전자주식회사 Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
KR101744127B1 (en) * 2010-11-17 2017-06-08 삼성전자주식회사 Semiconductor devices and methods for fabricating the same
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
JP2012119013A (en) 2010-11-29 2012-06-21 Toshiba Corp Nonvolatile semiconductor memory device
KR101805769B1 (en) 2010-11-29 2017-12-08 삼성전자주식회사 Methods of fabricating three dimensional semiconductor memory devices
US8547741B2 (en) * 2010-12-10 2013-10-01 Macronix International Co., Ltd. Nonvolatile stacked NAND memory
US8329051B2 (en) * 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
USRE46464E1 (en) 2010-12-14 2017-07-04 Lam Research Corporation Method for forming stair-step structures
KR20140043050A (en) * 2010-12-14 2014-04-08 쌘디스크 3디 엘엘씨 Three dimensional non-volatile storage with dual gated vertical select devices
US8535549B2 (en) * 2010-12-14 2013-09-17 Lam Research Corporation Method for forming stair-step structures
KR101692432B1 (en) * 2010-12-23 2017-01-17 삼성전자주식회사 Non-volatile memory device
JP2012146350A (en) 2011-01-07 2012-08-02 Toshiba Corp Nonvolatile semiconductor memory device
US8681555B2 (en) 2011-01-14 2014-03-25 Micron Technology, Inc. Strings of memory cells having string select gates, memory devices incorporating such strings, and methods of accessing and forming the same
US8441855B2 (en) 2011-01-14 2013-05-14 Micron Technology, Inc. Strings of memory cells having string select gates, memory devices incorporating such strings, and methods of accessing and forming the same
JP2012151169A (en) 2011-01-17 2012-08-09 Toshiba Corp Semiconductor memory device
US8363476B2 (en) * 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US8750040B2 (en) 2011-01-21 2014-06-10 Micron Technology, Inc. Memory devices having source lines directly coupled to body regions and methods
US9425326B2 (en) 2011-01-24 2016-08-23 Imec Vertical memory device and method for making thereof
JP2012160234A (en) 2011-02-01 2012-08-23 Toshiba Corp Nonvolatile semiconductor memory device
JP2012168999A (en) * 2011-02-10 2012-09-06 Toshiba Corp Operation method of nonvolatile semiconductor memory device
JP5431386B2 (en) 2011-02-22 2014-03-05 株式会社東芝 Semiconductor memory device
JP5398766B2 (en) 2011-03-16 2014-01-29 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2012204493A (en) 2011-03-24 2012-10-22 Toshiba Corp Nonvolatile semiconductor memory device
JP2012204430A (en) * 2011-03-24 2012-10-22 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP2012204684A (en) * 2011-03-25 2012-10-22 Toshiba Corp Nonvolatile semiconductor memory device
US8686486B2 (en) 2011-03-31 2014-04-01 Semiconductor Energy Laboratory Co., Ltd. Memory device
US8465906B2 (en) 2011-04-06 2013-06-18 Sandisk Technologies Inc. Method and mask for enhancing the resolution of patterning 2-row holes
US8445347B2 (en) 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
US8860117B2 (en) * 2011-04-28 2014-10-14 Micron Technology, Inc. Semiconductor apparatus with multiple tiers of memory cells with peripheral transistors, and methods
KR20120124285A (en) * 2011-05-03 2012-11-13 삼성전자주식회사 Memory system and method for bad block management
KR101855324B1 (en) 2011-05-04 2018-05-09 삼성전자주식회사 Three dimmensional semiconductor memory deivces and methods of fabricating the same
KR101868799B1 (en) * 2011-05-26 2018-06-21 에스케이하이닉스 주식회사 Nonvolatile memory device and method for fabricating the same
US8530350B2 (en) * 2011-06-02 2013-09-10 Micron Technology, Inc. Apparatuses including stair-step structures and methods of forming the same
US8765598B2 (en) 2011-06-02 2014-07-01 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
JP2013004629A (en) 2011-06-14 2013-01-07 Toshiba Corp Semiconductor storage device
TWI455138B (en) * 2011-06-14 2014-10-01 Univ Nat Chiao Tung Nonvolatile semiconductor memory device
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
KR101250851B1 (en) * 2011-06-30 2013-04-04 서울대학교산학협력단 Contact process method for 3d stacked memory array
JP2013020682A (en) 2011-07-14 2013-01-31 Toshiba Corp Nonvolatile semiconductor memory device
US8872252B2 (en) * 2011-08-03 2014-10-28 Micron Technology, Inc. Multi-tiered semiconductor apparatuses including residual silicide in semiconductor tier
US8797806B2 (en) 2011-08-15 2014-08-05 Micron Technology, Inc. Apparatus and methods including source gates
US9082555B2 (en) 2011-08-22 2015-07-14 Micron Technology, Inc. Structure comprising multiple capacitors and methods for forming the structure
JP5514172B2 (en) 2011-09-02 2014-06-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR101865566B1 (en) * 2011-09-08 2018-06-11 삼성전자주식회사 Methods of manufacturing a vertical memory device
JP2013058683A (en) 2011-09-09 2013-03-28 Toshiba Corp Manufacturing method of semiconductor storage device
JP2013065636A (en) 2011-09-15 2013-04-11 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method of the same
JP2013069356A (en) 2011-09-20 2013-04-18 Toshiba Corp Semiconductor storage device
US8933502B2 (en) 2011-11-21 2015-01-13 Sandisk Technologies Inc. 3D non-volatile memory with metal silicide interconnect
US8643142B2 (en) 2011-11-21 2014-02-04 Sandisk Technologies Inc. Passive devices for 3D non-volatile memory
US8951859B2 (en) 2011-11-21 2015-02-10 Sandisk Technologies Inc. Method for fabricating passive devices for 3D non-volatile memory
US8837223B2 (en) 2011-11-21 2014-09-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacuring the same
US8956968B2 (en) 2011-11-21 2015-02-17 Sandisk Technologies Inc. Method for fabricating a metal silicide interconnect in 3D non-volatile memory
KR20130066950A (en) * 2011-12-13 2013-06-21 에스케이하이닉스 주식회사 Three dimension non-volatile memory device, memory system comprising the same and method of manufacturing the same
KR101886382B1 (en) 2011-12-14 2018-08-09 삼성전자주식회사 Data storage devices and methods of manufacturing the same
US8917557B2 (en) 2011-12-15 2014-12-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR20130070153A (en) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 Capacitor and resistor of semiconductor device, memory system and method for manufacturing the same
US9035275B2 (en) 2011-12-19 2015-05-19 Macronix International Co., Ltd. Three dimensional memory array adjacent to trench sidewalls
KR20130071690A (en) * 2011-12-21 2013-07-01 에스케이하이닉스 주식회사 Semiconductor memory device and method of manufacturing the same
KR20130076372A (en) * 2011-12-28 2013-07-08 에스케이하이닉스 주식회사 Nonvolatile memory device, method for operating the same, and method for fabricating the same
KR101900892B1 (en) * 2012-02-06 2018-09-21 에스케이하이닉스 주식회사 Semiconductor memory device and method for manufacturing the same
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
JP5651632B2 (en) * 2012-03-26 2015-01-14 株式会社東芝 Programmable logic switch
US20130256777A1 (en) * 2012-03-30 2013-10-03 Seagate Technology Llc Three dimensional floating gate nand memory
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
KR101884002B1 (en) * 2012-04-13 2018-08-01 삼성전자주식회사 Method of manufacturing a contact structure
US9019775B2 (en) 2012-04-18 2015-04-28 Sandisk Technologies Inc. Erase operation for 3D non-volatile memory with controllable gate-induced drain leakage current
US8787094B2 (en) 2012-04-18 2014-07-22 Sandisk Technologies Inc. Soft erase operation for 3D non-volatile memory with selective inhibiting of passed bits
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
JP6025190B2 (en) * 2012-06-12 2016-11-16 シナプティクス・ジャパン合同会社 SRAM
US8964474B2 (en) 2012-06-15 2015-02-24 Micron Technology, Inc. Architecture for 3-D NAND memory
JP2014002810A (en) 2012-06-18 2014-01-09 Toshiba Corp Nonvolatile semiconductor memory device
US8609536B1 (en) * 2012-07-06 2013-12-17 Micron Technology, Inc. Stair step formation using at least two masks
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
KR101990904B1 (en) 2012-07-17 2019-06-19 삼성전자주식회사 A vertical type semiconductor device
JP2014027181A (en) 2012-07-27 2014-02-06 Toshiba Corp Semiconductor device and method of manufacturing the same
US10541029B2 (en) 2012-08-01 2020-01-21 Micron Technology, Inc. Partial block memory operations
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US8736069B2 (en) * 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
US8754459B2 (en) 2012-08-31 2014-06-17 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2014053056A (en) 2012-09-06 2014-03-20 Toshiba Corp Semiconductor storage device
US8902657B2 (en) 2012-09-07 2014-12-02 Kabushiki Kaisha Toshiba Semiconductor memory device and controller
KR102015578B1 (en) * 2012-09-11 2019-08-28 삼성전자주식회사 Nonvolatile memory device and manufactureing the same
JP2014056877A (en) * 2012-09-11 2014-03-27 Hitachi Ltd Semiconductor device and semiconductor integrated circuit device using the same
JP2014063551A (en) 2012-09-21 2014-04-10 Toshiba Corp Semiconductor memory device
JP2014063556A (en) * 2012-09-24 2014-04-10 Toshiba Corp Nonvolatile semiconductor memory device
KR102018614B1 (en) 2012-09-26 2019-09-05 삼성전자주식회사 Semiconductor Device and Method ofFabricating the Same
US9318199B2 (en) 2012-10-26 2016-04-19 Micron Technology, Inc. Partial page memory operations
US9099202B2 (en) 2012-11-06 2015-08-04 Sandisk Technologies Inc. 3D stacked non-volatile storage programming to conductive state
TWI530953B (en) * 2012-11-15 2016-04-21 旺宏電子股份有限公司 3d memory and decoding technologies
US9087601B2 (en) 2012-12-06 2015-07-21 Sandisk Technologies Inc. Select gate bias during program of non-volatile storage
US9064578B2 (en) 2012-12-18 2015-06-23 Micron Technology, Inc. Enable/disable of memory chunks during memory access
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9219073B2 (en) 2014-01-17 2015-12-22 Macronix International Co., Ltd. Parallelogram cell design for high speed vertical channel 3D NAND memory
US9502349B2 (en) 2014-01-17 2016-11-22 Macronix International Co., Ltd. Separated lower select line in 3D NAND architecture
US9437605B2 (en) 2012-12-24 2016-09-06 Macronix International Co., Ltd. 3D NAND array architecture
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
KR102037847B1 (en) * 2013-01-02 2019-10-29 삼성전자주식회사 Semiconductor Memory Device And Method Of Fabricating The Same
TWI480979B (en) * 2013-01-09 2015-04-11 Macronix Int Co Ltd Integrated circuit device and mathod for manufacturing the same
US8759899B1 (en) * 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
CN103928395B (en) * 2013-01-16 2017-05-03 旺宏电子股份有限公司 Three-dimensional laminated semiconductor device and manufacturing method thereof
KR101986245B1 (en) * 2013-01-17 2019-09-30 삼성전자주식회사 Method of manufacturing a vertical type semiconductor device
CN103943572B (en) * 2013-01-23 2017-07-28 旺宏电子股份有限公司 IC apparatus and its manufacture method
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
US9111591B2 (en) 2013-02-22 2015-08-18 Micron Technology, Inc. Interconnections for 3D memory
US9001584B2 (en) 2013-02-28 2015-04-07 Micron Technology, Inc. Sub-block decoding in 3D memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
JP2014183225A (en) 2013-03-19 2014-09-29 Toshiba Corp Nonvolatile semiconductor storage device
JP2014183224A (en) 2013-03-19 2014-09-29 Toshiba Corp Semiconductor memory device and method of manufacturing the same
JP2014187246A (en) 2013-03-25 2014-10-02 Toshiba Corp Semiconductor device, and method of manufacturing the same
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
JP2014241358A (en) 2013-06-12 2014-12-25 株式会社東芝 Semiconductor memory device
KR20140148070A (en) * 2013-06-21 2014-12-31 에스케이하이닉스 주식회사 Semiconductor Memory Apparatus and Fabrication Method Thereof
KR102098588B1 (en) 2013-06-28 2020-04-08 삼성전자주식회사 Semiconductor Device and Method for manufacturing the same
US9165937B2 (en) 2013-07-01 2015-10-20 Micron Technology, Inc. Semiconductor devices including stair step structures, and related methods
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
JP2015028966A (en) * 2013-07-30 2015-02-12 株式会社東芝 Semiconductor memory device and method of manufacturing the same
US9047953B2 (en) * 2013-08-22 2015-06-02 Macronix International Co., Ltd. Memory device structure with page buffers in a page-buffer level separate from the array level
KR102066925B1 (en) * 2013-08-30 2020-01-16 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102130558B1 (en) * 2013-09-02 2020-07-07 삼성전자주식회사 Semiconductor device
JP2015053336A (en) 2013-09-05 2015-03-19 株式会社東芝 Semiconductor device and manufacturing method of the same
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
WO2015038246A2 (en) * 2013-09-15 2015-03-19 SanDisk Technologies, Inc. Method of integrating select gate source and memory hole for three-dimensional non-volatile memory device
US9460931B2 (en) * 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9508735B2 (en) * 2013-09-19 2016-11-29 Micron Technology, Inc. Methods and apparatuses having strings of memory cells and select gates with double gates
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
KR102128469B1 (en) * 2013-11-08 2020-06-30 삼성전자주식회사 Semiconductor devices
KR20150057147A (en) 2013-11-18 2015-05-28 삼성전자주식회사 Memory device
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US9023701B1 (en) * 2013-12-31 2015-05-05 Macronix International Co., Ltd. Three-dimensional memory and method of forming the same
JP2015130213A (en) 2014-01-07 2015-07-16 株式会社東芝 Semiconductor storage device
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
US9698156B2 (en) 2015-03-03 2017-07-04 Macronix International Co., Ltd. Vertical thin-channel memory
US9373632B2 (en) 2014-01-17 2016-06-21 Macronix International Co., Ltd. Twisted array design for high speed vertical channel 3D NAND memory
US9679849B1 (en) 2014-01-17 2017-06-13 Macronix International Co., Ltd. 3D NAND array with sides having undulating shapes
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
JP2015149413A (en) 2014-02-06 2015-08-20 株式会社東芝 Semiconductor storage device and manufacturing method of the same
KR20150100325A (en) * 2014-02-25 2015-09-02 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
WO2015132887A1 (en) 2014-03-04 2015-09-11 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor memory device and method for manufacturing same
US10651189B2 (en) 2014-03-04 2020-05-12 Unisantis Electronics Singapore Pte. Ltd. Method for producing pillar-shaped semiconductor memory device
JP2015170692A (en) * 2014-03-06 2015-09-28 株式会社東芝 Semiconductor device and manufacturing method of the same
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
JP2015176923A (en) 2014-03-13 2015-10-05 株式会社東芝 Nonvolatile semiconductor memory device
US9450026B2 (en) * 2014-03-24 2016-09-20 Kabushiki Kaisha Toshiba Semiconductor device
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
JP5826441B1 (en) 2014-04-17 2015-12-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor memory device and manufacturing method thereof
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9224749B1 (en) * 2014-06-04 2015-12-29 Macronix International Co., Ltd. Method for filling polysilicon gate in semiconductor devices, and semiconductor devices
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9553146B2 (en) 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
WO2015189916A1 (en) 2014-06-10 2015-12-17 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor memory device and method for manufacturing same
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
KR102234273B1 (en) 2014-07-02 2021-04-02 삼성전자주식회사 Semiconductor memory device
US9356037B2 (en) 2014-07-07 2016-05-31 Macronix International Co., Ltd. Memory architecture of 3D array with interleaved control structures
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
JP6480114B2 (en) * 2014-07-09 2019-03-06 ルネサスエレクトロニクス株式会社 Solid-state imaging device, image data transmission method, and camera system
US9633742B2 (en) * 2014-07-10 2017-04-25 Sandisk Technologies Llc Segmentation of blocks for faster bit line settling/recovery in non-volatile memory devices
JP5990843B2 (en) * 2014-07-14 2016-09-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device manufacturing method and semiconductor device
KR102234266B1 (en) 2014-07-23 2021-04-02 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
JP2016035991A (en) 2014-08-04 2016-03-17 株式会社東芝 Semiconductor memory and manufacturing method thereof
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9620217B2 (en) 2014-08-12 2017-04-11 Macronix International Co., Ltd. Sub-block erase
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9349745B2 (en) 2014-08-25 2016-05-24 Macronix International Co., Ltd. 3D NAND nonvolatile memory with staggered vertical gates
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9691884B2 (en) 2014-08-26 2017-06-27 Sandisk Technologies Llc Monolithic three dimensional NAND strings and methods of fabrication thereof
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9257443B1 (en) * 2014-09-09 2016-02-09 Kabushiki Kaisha Toshiba Memory device and method for manufacturing the same
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9362298B2 (en) * 2014-09-11 2016-06-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and manufacturing method thereof
US9508739B2 (en) * 2014-09-11 2016-11-29 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
US9431419B2 (en) * 2014-09-12 2016-08-30 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US10892269B2 (en) 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
JP6203152B2 (en) 2014-09-12 2017-09-27 東芝メモリ株式会社 Manufacturing method of semiconductor memory device
US9418750B2 (en) 2014-09-15 2016-08-16 Sandisk Technologies Llc Single ended word line and bit line time constant measurement
US9224473B1 (en) 2014-09-15 2015-12-29 Macronix International Co., Ltd. Word line repair for 3D vertical channel memory
JP2016062622A (en) 2014-09-16 2016-04-25 株式会社東芝 Semiconductor storage device and driving method of the same
CN104319276B (en) * 2014-09-16 2017-05-10 华中科技大学 Gate electrode for nonvolatile three-dimensional semiconductor memory, and preparation method for gate electrode
JP6250506B2 (en) 2014-09-16 2017-12-20 東芝メモリ株式会社 Integrated circuit device and manufacturing method thereof
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9825048B2 (en) * 2014-09-24 2017-11-21 Sandisk Technologies Llc Process for word line connections in 3D memory
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9984754B2 (en) * 2014-09-29 2018-05-29 Toshiba Memory Corporation Memory device and method for operating the same
US9318204B1 (en) 2014-10-07 2016-04-19 SanDisk Technologies, Inc. Non-volatile memory and method with adjusted timing for individual programming pulses
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
KR20160045340A (en) * 2014-10-17 2016-04-27 에스케이하이닉스 주식회사 3-dimension non-volatile memory device
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9455007B2 (en) 2014-12-01 2016-09-27 Macronix International Co., Ltd. Word line driver circuitry and compact memory using same
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9741569B2 (en) 2014-12-16 2017-08-22 Macronix International Co., Ltd. Forming memory using doped oxide
KR102310511B1 (en) * 2014-12-19 2021-10-08 삼성전자주식회사 Semiconductor device and method of forming the same
US9530781B2 (en) * 2014-12-22 2016-12-27 Sandisk Technologies Llc Three dimensional NAND memory having improved connection between source line and in-hole channel material as well as reduced damage to in-hole layers
US9356105B1 (en) 2014-12-29 2016-05-31 Macronix International Co., Ltd. Ring gate transistor design for flash memory
TWI600143B (en) * 2015-01-26 2017-09-21 旺宏電子股份有限公司 Twisted array design for high speed vertical channel 3d nand memory
KR20160094186A (en) * 2015-01-30 2016-08-09 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
US9236128B1 (en) 2015-02-02 2016-01-12 Sandisk Technologies Inc. Voltage kick to non-selected word line during programming
US9318210B1 (en) 2015-02-02 2016-04-19 Sandisk Technologies Inc. Word line kick during sensing: trimming and adjacent word lines
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9418743B1 (en) 2015-02-17 2016-08-16 Macronix International Co., Ltd. 3D NAND memory with decoder and local word line drivers
US9530503B2 (en) 2015-02-19 2016-12-27 Macronix International Co., Ltd. And-type SGVC architecture for 3D NAND flash
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9524980B2 (en) 2015-03-03 2016-12-20 Macronix International Co., Ltd. U-shaped vertical thin-channel memory
US9865614B2 (en) * 2015-03-09 2018-01-09 Toshiba Memory Corporation Semiconductor device
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9490017B2 (en) 2015-03-10 2016-11-08 Macronix International Co., Ltd. Forced-bias method in sub-block erase
JP2016170837A (en) 2015-03-12 2016-09-23 株式会社東芝 Semiconductor storage
JP2016171215A (en) 2015-03-12 2016-09-23 株式会社東芝 Semiconductor storage device
US9608001B2 (en) 2015-03-13 2017-03-28 Kabushiki Kaisha Toshiba Semiconductor memory device
US9397109B1 (en) 2015-03-13 2016-07-19 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP2016171280A (en) 2015-03-16 2016-09-23 株式会社東芝 Semiconductor storage device manufacturing method
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9613896B2 (en) 2015-03-18 2017-04-04 Kabushiki Kaisha Toshiba Semiconductor memory device with conductive columnar body
US9831261B2 (en) 2015-03-18 2017-11-28 Toshiba Memory Corporation Semiconductor memory device with first and second semiconductor films in first and second columnar bodies
US9443868B1 (en) 2015-03-19 2016-09-13 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
KR102309566B1 (en) * 2015-03-20 2021-10-07 에스케이하이닉스 주식회사 Semiconductor device
US9673057B2 (en) 2015-03-23 2017-06-06 Lam Research Corporation Method for forming stair-step structures
US9607702B2 (en) 2015-03-25 2017-03-28 Macronix International Co., Ltd. Sub-block page erase in 3D p-channel flash memory
US10186519B2 (en) 2015-03-31 2019-01-22 Samsung Electronics Co., Ltd. Semiconductor memory devices
KR102333478B1 (en) * 2015-03-31 2021-12-03 삼성전자주식회사 Three dimensional semiconductor device
US10008510B2 (en) 2015-03-31 2018-06-26 Toshiba Memory Corporation Semiconductor memory device
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
US10068914B2 (en) * 2015-04-17 2018-09-04 Macronix International Co., Ltd. Semiconductor structure and manufacturing method of the same
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
KR101616091B1 (en) * 2015-04-20 2016-04-28 삼성전자주식회사 A monolithic three dimensional NAND string
US9548315B2 (en) 2015-04-24 2017-01-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, method of manufacturing the same, and method of measuring the same
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9478259B1 (en) 2015-05-05 2016-10-25 Macronix International Co., Ltd. 3D voltage switching transistors for 3D vertical gate memory array
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
KR102358302B1 (en) * 2015-05-21 2022-02-04 삼성전자주식회사 Vertical NAND flash memory device and method fabricating the same
KR102342548B1 (en) 2015-05-22 2021-12-24 삼성전자주식회사 Memory device
JP2016225614A (en) 2015-05-26 2016-12-28 株式会社半導体エネルギー研究所 Semiconductor device
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9793289B2 (en) 2015-06-08 2017-10-17 Toshiba Memory Corporation Non-volatile memory device
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9508446B1 (en) 2015-06-24 2016-11-29 Macronix International Co., Ltd. Temperature compensated reverse current for memory
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9373403B1 (en) 2015-07-02 2016-06-21 Macronix International Co., Ltd. 3D NAND memory device and operation thereof
TWI582962B (en) * 2015-07-06 2017-05-11 Toshiba Kk Semiconductor memory device and manufacturing method thereof
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
TWI578318B (en) * 2015-08-05 2017-04-11 旺宏電子股份有限公司 3d nand memory device and operation thereof
US9905462B2 (en) 2015-08-20 2018-02-27 Toshiba Memory Corporation Semiconductor device and method for manufacturing the same
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9530697B1 (en) 2015-09-09 2016-12-27 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9666597B2 (en) 2015-09-10 2017-05-30 Kabushiki Kaisha Toshiba Semiconductor memory device
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
DE112016004265T5 (en) * 2015-09-21 2018-06-07 Monolithic 3D Inc. 3D SEMICONDUCTOR DEVICE AND STRUCTURE
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9401371B1 (en) 2015-09-24 2016-07-26 Macronix International Co., Ltd. Sacrificial spin-on glass for air gap formation after bl isolation process in single gate vertical channel 3D NAND flash
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9842851B2 (en) 2015-10-30 2017-12-12 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion
US9754958B2 (en) * 2015-10-30 2017-09-05 Sandisk Technologies Llc Three-dimensional memory devices having a shaped epitaxial channel portion and method of making thereof
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9935124B2 (en) * 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
US9583503B1 (en) * 2015-12-11 2017-02-28 Macronix International Co., Ltd. Three-dimensional semiconductor device and method of manufacturing the same
TWI582964B (en) 2015-12-30 2017-05-11 旺宏電子股份有限公司 A memory device and method for fabricating the same
KR102532427B1 (en) 2015-12-31 2023-05-17 삼성전자주식회사 Semiconductor memory device
US9741563B2 (en) 2016-01-27 2017-08-22 Lam Research Corporation Hybrid stair-step etch
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US10224103B2 (en) 2016-02-09 2019-03-05 Micron Technology, Inc. Memory devices with a transistor that selectively connects a data line to another data line
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
JP6581019B2 (en) 2016-03-02 2019-09-25 東芝メモリ株式会社 Semiconductor memory device
US9941209B2 (en) * 2016-03-11 2018-04-10 Micron Technology, Inc. Conductive structures, systems and devices including conductive structures and related methods
US9818754B2 (en) 2016-03-15 2017-11-14 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9780034B1 (en) * 2016-03-16 2017-10-03 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
US9768192B1 (en) 2016-03-16 2017-09-19 Sandisk Technologies Llc Three-dimensional memory device containing annular etch-stop spacer and method of making thereof
JP2017168641A (en) 2016-03-16 2017-09-21 東芝メモリ株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US10096613B2 (en) 2016-04-13 2018-10-09 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US9691786B1 (en) 2016-04-29 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor memory device
US9679650B1 (en) 2016-05-06 2017-06-13 Micron Technology, Inc. 3D NAND memory Z-decoder
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
TWI594246B (en) * 2016-05-24 2017-08-01 旺宏電子股份有限公司 Memory device and method for fabricating the same
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9728266B1 (en) 2016-07-08 2017-08-08 Micron Technology, Inc. Memory device including multiple select gates and different bias conditions
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US9865311B1 (en) 2016-07-08 2018-01-09 Micron Technology, Inc. Memory device including current generator plate
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US11017838B2 (en) 2016-08-04 2021-05-25 Samsung Electronics Co., Ltd. Nonvolatile memory devices
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
TWI765122B (en) 2016-08-18 2022-05-21 日商鎧俠股份有限公司 semiconductor device
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
JP2018037123A (en) 2016-08-29 2018-03-08 東芝メモリ株式会社 Semiconductor storage device and memory system
JP6608786B2 (en) 2016-09-07 2019-11-20 キオクシア株式会社 Semiconductor memory device
JP6652470B2 (en) 2016-09-07 2020-02-26 キオクシア株式会社 Semiconductor storage device
TWI654747B (en) 2016-09-12 2019-03-21 日商東芝記憶體股份有限公司 Semiconductor memory device
US9960179B2 (en) 2016-09-16 2018-05-01 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP6545649B2 (en) 2016-09-16 2019-07-17 東芝メモリ株式会社 Memory device
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
KR102650994B1 (en) * 2016-10-14 2024-03-26 삼성전자주식회사 Memory device
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US9985098B2 (en) 2016-11-03 2018-05-29 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10262945B2 (en) * 2016-11-28 2019-04-16 Sandisk Technologies Llc Three-dimensional array device having a metal containing barrier and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10074666B2 (en) 2017-01-09 2018-09-11 Sandisk Technologies Llc Three-dimensional memory device with enhanced mechanical stability semiconductor pedestal and method of making thereof
JP2018116755A (en) 2017-01-19 2018-07-26 東芝メモリ株式会社 Semiconductor memory
US10038008B1 (en) * 2017-01-30 2018-07-31 Micron Technology, Inc. Integrated structures and NAND memory arrays
TWI669806B (en) 2017-02-07 2019-08-21 東芝記憶體股份有限公司 Semiconductor memory device and method of manufacturing same
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
JP2018142654A (en) * 2017-02-28 2018-09-13 東芝メモリ株式会社 Semiconductor device and manufacturing method for the same
US10170490B2 (en) 2017-03-06 2019-01-01 Micron Technology, Inc. Memory device including pass transistors in memory tiers
US10192877B2 (en) * 2017-03-07 2019-01-29 Sandisk Technologies Llc Three-dimensional memory device with level-shifted staircase structures and method of making thereof
US10115731B2 (en) 2017-03-13 2018-10-30 Toshiba Memory Corporation Semiconductor memory device
US10553601B2 (en) * 2017-03-16 2020-02-04 Toshiba Memory Corporation Semiconductor memory including semiconductor oxide
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
JP2018170447A (en) * 2017-03-30 2018-11-01 東芝メモリ株式会社 Semiconductor device and manufacturing method thereof
US10991762B2 (en) * 2017-03-31 2021-04-27 Sony Semiconductor Solutions Corporation Memory unit
US10923492B2 (en) 2017-04-24 2021-02-16 Micron Technology, Inc. Elevationally-extending string of memory cells and methods of forming an elevationally-extending string of memory cells
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US10115730B1 (en) 2017-06-19 2018-10-30 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making thereof
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
KR101985590B1 (en) * 2017-07-28 2019-06-03 한양대학교 산학협력단 Three dimensional flash memory for increasing integration density and manufacturing method thereof
US10373904B2 (en) * 2017-08-28 2019-08-06 Micron Technology, Inc. Semiconductor devices including capacitors, related electronic systems, and related methods
JP2019053799A (en) 2017-09-14 2019-04-04 東芝メモリ株式会社 Semiconductor storage device
JP2019054162A (en) * 2017-09-15 2019-04-04 東芝メモリ株式会社 Method for manufacturing storage device and storage device
US10283452B2 (en) 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
CN107658317B (en) * 2017-09-15 2019-01-01 长江存储科技有限责任公司 A kind of semiconductor device and preparation method thereof
US10290647B2 (en) 2017-09-26 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and method of making the same
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
JP2019067474A (en) 2017-10-05 2019-04-25 東芝メモリ株式会社 Semiconductor memory device
CN107994028B (en) * 2017-11-16 2021-02-02 长江存储科技有限责任公司 Three-dimensional memory and forming method thereof
KR102400100B1 (en) * 2017-11-17 2022-05-19 삼성전자주식회사 Non volatile memory devices and method of fabricating the same
CN107946306A (en) * 2017-11-23 2018-04-20 长江存储科技有限责任公司 Three-dimensional storage organization production method, storage organization, memory and electronic equipment
US10181442B1 (en) 2017-11-30 2019-01-15 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and methods of making the same
JP2019101652A (en) 2017-11-30 2019-06-24 東芝メモリ株式会社 Semiconductor memory
US10217746B1 (en) 2017-11-30 2019-02-26 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and a support structure and methods of making the same
US10211215B1 (en) 2017-11-30 2019-02-19 Sandisk Technologies Llc Three-dimensional memory device containing word lines having vertical protrusion regions and methods of making the same
JP2019102663A (en) 2017-12-04 2019-06-24 東芝メモリ株式会社 Storage device
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10256252B1 (en) 2017-12-13 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device containing structurally reinforced pedestal channel portions and methods of making the same
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
JP2019161009A (en) 2018-03-13 2019-09-19 東芝メモリ株式会社 Memory device
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10269820B1 (en) 2018-04-03 2019-04-23 Sandisk Technologies Llc Three-dimensional memory device containing different pedestal width support pillar structures and method of making the same
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US10700004B2 (en) 2018-04-23 2020-06-30 Macronix International Co., Ltd. 3D NAND world line connection structure
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
US10535673B2 (en) * 2018-06-04 2020-01-14 Macronix International Co., Ltd. High-density flash memory device and method of manufacturing the same
KR20190140773A (en) * 2018-06-12 2019-12-20 삼성전자주식회사 Semiconductor devices and method of manufacturing the same
US10763273B2 (en) * 2018-08-23 2020-09-01 Macronix International Co., Ltd. Vertical GAA flash memory including two-transistor memory cells
TWI757635B (en) * 2018-09-20 2022-03-11 美商森恩萊斯記憶體公司 Memory structure and process for staircase structures for electrically connecting multiple horizontal conductive layers of a 3-dimensional memory device
US10629608B2 (en) 2018-09-26 2020-04-21 Macronix International Co., Ltd. 3D vertical channel tri-gate NAND memory with tilted hemi-cylindrical structure
CN109830432B (en) * 2019-01-02 2020-11-24 华中科技大学 Sidewall gate electrode of nonvolatile 3D NAND memory and preparation method thereof
KR102612197B1 (en) 2019-01-11 2023-12-12 삼성전자주식회사 Semiconductor device
WO2020167658A1 (en) 2019-02-11 2020-08-20 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
US11610914B2 (en) 2019-02-11 2023-03-21 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11037947B2 (en) 2019-04-15 2021-06-15 Macronix International Co., Ltd. Array of pillars located in a uniform pattern
KR20200126686A (en) * 2019-04-30 2020-11-09 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
US10861873B2 (en) 2019-05-07 2020-12-08 Sandisk Technologies Llc Three-dimensional memory device including signal and power connection lines extending through dielectric regions and methods of making the same
US10872899B2 (en) * 2019-05-07 2020-12-22 Sandisk Technologies Llc Three-dimensional memory device including signal and power connection lines extending through dielectric regions and methods of making the same
US10847526B1 (en) * 2019-07-26 2020-11-24 Micron Technology, Inc. Microelectronic devices including staircase structures, and related memory devices and electronic systems
US11450381B2 (en) 2019-08-21 2022-09-20 Micron Technology, Inc. Multi-deck memory device including buffer circuitry under array
JP2021044463A (en) 2019-09-13 2021-03-18 キオクシア株式会社 Semiconductor storage device
US11411013B2 (en) 2020-01-08 2022-08-09 Micron Technology, Inc. Microelectronic devices including stair step structures, and related electronic devices and methods
US11437318B2 (en) 2020-06-12 2022-09-06 Micron Technology, Inc. Microelectronic devices including staircase structures, and related memory devices and electronic systems
JP2022045992A (en) * 2020-09-10 2022-03-23 キオクシア株式会社 Semiconductor memory device
US11844221B2 (en) * 2021-08-23 2023-12-12 Macronix International Co., Ltd. 3D flash memory device with integrated passive device
US20230066753A1 (en) * 2021-09-01 2023-03-02 Micron Technology, Inc. Electronic devices including vertical strings of memory cells, and related memory devices, systems and methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0479369A (en) * 1990-07-23 1992-03-12 Toshiba Corp Nonvolatile semiconductor memory
JPH06338602A (en) * 1993-05-28 1994-12-06 Toshiba Corp Semiconductor memory and manufacture thereof
JPH0878635A (en) * 1994-08-31 1996-03-22 Toshiba Corp Semiconductor memory device
JP2003092366A (en) * 2001-06-23 2003-03-28 Fujio Masuoka Semiconductor memory and method of manufacturing the same
JP2005093808A (en) * 2003-09-18 2005-04-07 Fujio Masuoka Memory cell unit, nonvolatile semiconductor memory device having it and driving method of memory cell array

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5258635A (en) * 1988-09-06 1993-11-02 Kabushiki Kaisha Toshiba MOS-type semiconductor integrated circuit device
JP2703970B2 (en) 1989-01-17 1998-01-26 株式会社東芝 MOS type semiconductor device
US5006909A (en) * 1989-10-30 1991-04-09 Motorola, Inc. Dram with a vertical capacitor and transistor
JP2991489B2 (en) * 1990-11-30 1999-12-20 株式会社東芝 Semiconductor device
US5612563A (en) * 1992-03-02 1997-03-18 Motorola Inc. Vertically stacked vertical transistors used to form vertical logic gate structures
US5308782A (en) * 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
JP3229012B2 (en) * 1992-05-21 2001-11-12 株式会社東芝 Method for manufacturing semiconductor device
US5480820A (en) * 1993-03-29 1996-01-02 Motorola, Inc. Method of making a vertically formed neuron transistor having a floating gate and a control gate and a method of formation
JP3403231B2 (en) * 1993-05-12 2003-05-06 三菱電機株式会社 Semiconductor device and manufacturing method thereof
KR0165398B1 (en) * 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
US5668391A (en) * 1995-08-02 1997-09-16 Lg Semicon Co., Ltd. Vertical thin film transistor
KR0170296B1 (en) 1995-09-19 1999-03-30 김광호 Non-volatile memory element
JPH1093083A (en) * 1996-09-18 1998-04-10 Toshiba Corp Manufacturing method of semiconductor device
US5990509A (en) * 1997-01-22 1999-11-23 International Business Machines Corporation 2F-square memory cell for gigabit memory applications
US5929477A (en) * 1997-01-22 1999-07-27 International Business Machines Corporation Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array
US6060746A (en) * 1997-02-11 2000-05-09 International Business Machines Corporation Power transistor having vertical FETs and method for making same
KR100339173B1 (en) * 1999-05-20 2002-06-01 포만 제프리 엘 A method of forming the first level of metallization in dram chips
US6255689B1 (en) * 1999-12-20 2001-07-03 United Microelectronics Corp. Flash memory structure and method of manufacture
US6639835B2 (en) * 2000-02-29 2003-10-28 Micron Technology, Inc. Static NVRAM with ultra thin tunnel oxides
JP3934867B2 (en) * 2000-09-29 2007-06-20 株式会社東芝 Nonvolatile semiconductor memory device and nonvolatile semiconductor memory system
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
JP3933412B2 (en) 2001-03-30 2007-06-20 富士雄 舛岡 Semiconductor memory device and manufacturing method thereof
KR100483035B1 (en) * 2001-03-30 2005-04-15 샤프 가부시키가이샤 A semiconductor memory and its production process
JP3963677B2 (en) 2001-06-23 2007-08-22 富士雄 舛岡 Manufacturing method of semiconductor memory device
JP3933424B2 (en) 2001-06-23 2007-06-20 富士雄 舛岡 Semiconductor memory device
JP3566944B2 (en) 2001-06-23 2004-09-15 富士雄 舛岡 Semiconductor storage device and method of manufacturing the same
JP3963664B2 (en) * 2001-06-22 2007-08-22 富士雄 舛岡 Semiconductor memory device and manufacturing method thereof
US6925008B2 (en) * 2001-09-29 2005-08-02 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device with a memory unit including not more than two memory cell transistors
US6635924B1 (en) * 2002-06-06 2003-10-21 Agere Systems Inc. Ultra thin body vertical replacement gate MOSFET
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6624024B1 (en) * 2002-08-29 2003-09-23 Micron Technology, Inc. Method and apparatus for a flash memory device comprising a source local interconnect
JP4108537B2 (en) * 2003-05-28 2008-06-25 富士雄 舛岡 Semiconductor device
US7241654B2 (en) * 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
JP2005294518A (en) * 2004-03-31 2005-10-20 Toshiba Corp Semiconductor device and method for manufacturing the same
US7112781B2 (en) 2004-04-21 2006-09-26 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Absolute encoder
US7126188B2 (en) * 2004-05-27 2006-10-24 Skymedi Corporation Vertical split gate memory cell and manufacturing method thereof
JP5016832B2 (en) * 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP4768557B2 (en) * 2006-09-15 2011-09-07 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP5016928B2 (en) * 2007-01-10 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP4649487B2 (en) * 2008-03-17 2011-03-09 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8395206B2 (en) * 2008-10-09 2013-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
JP2016170837A (en) * 2015-03-12 2016-09-23 株式会社東芝 Semiconductor storage
CN107689425A (en) * 2017-08-31 2018-02-13 昆山国显光电有限公司 Thin-film packing structure and film encapsulation method and display panel

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0479369A (en) * 1990-07-23 1992-03-12 Toshiba Corp Nonvolatile semiconductor memory
JPH06338602A (en) * 1993-05-28 1994-12-06 Toshiba Corp Semiconductor memory and manufacture thereof
JPH0878635A (en) * 1994-08-31 1996-03-22 Toshiba Corp Semiconductor memory device
JP2003092366A (en) * 2001-06-23 2003-03-28 Fujio Masuoka Semiconductor memory and method of manufacturing the same
JP2005093808A (en) * 2003-09-18 2005-04-07 Fujio Masuoka Memory cell unit, nonvolatile semiconductor memory device having it and driving method of memory cell array

Cited By (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008171918A (en) * 2007-01-10 2008-07-24 Toshiba Corp Nonvolatile semiconductor storage device and method for manufacturing the same
WO2009047951A1 (en) 2007-10-12 2009-04-16 Sharp Kabushiki Kaisha Mobile telephone
US8426276B2 (en) 2007-10-29 2013-04-23 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009111049A (en) * 2007-10-29 2009-05-21 Toshiba Corp Nonvolatile semiconductor storage device and its manufacturing method
US8148789B2 (en) 2007-10-29 2012-04-03 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
KR101012247B1 (en) 2007-10-29 2011-02-08 가부시끼가이샤 도시바 Non-volatile semiconductor storage device and method of manufacturing the same
US11393840B2 (en) 2007-12-11 2022-07-19 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US8372720B2 (en) 2007-12-11 2013-02-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8729624B2 (en) 2007-12-11 2014-05-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9035374B2 (en) 2007-12-11 2015-05-19 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
WO2009075370A1 (en) 2007-12-11 2009-06-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9985050B2 (en) 2007-12-11 2018-05-29 Toshiba Memory Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US10163931B2 (en) 2007-12-11 2018-12-25 Toshiba Memory Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US11574926B2 (en) 2007-12-11 2023-02-07 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US11844218B2 (en) 2007-12-11 2023-12-12 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US9741738B2 (en) 2007-12-11 2017-08-22 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9356042B2 (en) 2007-12-11 2016-05-31 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009158775A (en) * 2007-12-27 2009-07-16 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
WO2009084206A1 (en) * 2007-12-27 2009-07-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
EP2225774A1 (en) * 2007-12-27 2010-09-08 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
EP2225774A4 (en) * 2007-12-27 2013-04-24 Toshiba Kk Semiconductor memory device and method for manufacturing same
US8198667B2 (en) 2007-12-27 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US8330216B2 (en) 2008-01-08 2012-12-11 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method of manufacturing the same
US8013383B2 (en) 2008-02-25 2011-09-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device including a plurality of memory strings
US8048798B2 (en) 2008-03-04 2011-11-01 Kabushiki Kaisha Toshiba Method for manufacturing a nonvolatile semiconductor storage device where memory cells are arranged three dimensionally
KR101126868B1 (en) * 2008-03-14 2012-03-23 가부시끼가이샤 도시바 Non-volatile semiconductor storage device and method of manufacturing the same
US8426976B2 (en) 2008-03-14 2013-04-23 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009224468A (en) * 2008-03-14 2009-10-01 Toshiba Corp Non-volatile semiconductor memory device
JP4691124B2 (en) * 2008-03-14 2011-06-01 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
US7927926B2 (en) 2008-03-14 2011-04-19 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009224466A (en) * 2008-03-14 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device
JP2009224465A (en) * 2008-03-14 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
US8314455B2 (en) 2008-03-17 2012-11-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US7977733B2 (en) 2008-03-17 2011-07-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP4649487B2 (en) * 2008-03-17 2011-03-09 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2009224574A (en) * 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP4635069B2 (en) * 2008-03-26 2011-02-16 株式会社東芝 Nonvolatile semiconductor memory device
JP2009238871A (en) * 2008-03-26 2009-10-15 Toshiba Corp Nonvolatile semiconductor memory device
US9859211B2 (en) 2008-03-26 2018-01-02 Toshiba Memory Corporation Nonvolatile semiconductor memory device including pillars buried inside through holes
US9412756B2 (en) 2008-03-26 2016-08-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device including pillars buried inside through holes same
US8084809B2 (en) 2008-03-26 2011-12-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device including pillars buried inside through holes
US8853766B2 (en) 2008-03-26 2014-10-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device including pillars buried inside through holes
WO2009119527A1 (en) 2008-03-26 2009-10-01 株式会社 東芝 Semiconductor memory and method for manufacturing the same
US10720216B2 (en) 2008-04-23 2020-07-21 Toshiba Memory Corporation Memory in which the channel potential of a memory cell in a non-selected NAND cell unit is increased
US9437610B2 (en) 2008-04-23 2016-09-06 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
JP2009266946A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US9953708B2 (en) 2008-04-23 2018-04-24 Toshiba Memory Corporation Memory performing write operation in which a string transistor channel voltage is boosted before applying a program voltage to a word line
US8952426B2 (en) 2008-04-23 2015-02-10 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
JP2009266281A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three dimensional stacked nonvolatile semiconductor memory
US10224106B2 (en) 2008-04-23 2019-03-05 Toshiba Memory Corporation Method of controlling programming of a three dimensional stacked nonvolatile semiconductor memory
US9558833B2 (en) 2008-04-23 2017-01-31 Kabushiki Kaisha Toshiba Write controlling method for memory
US8379449B2 (en) 2008-04-23 2013-02-19 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
US8605506B2 (en) 2008-04-23 2013-12-10 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
US11430521B2 (en) 2008-04-23 2022-08-30 Kioxia Corporation Three dimensional stacked nonvolatile semiconductor memory in which the channel potential of a memory cell in a non-selected NAND cell unit is increased
US8837218B2 (en) 2008-04-23 2014-09-16 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
US11727993B2 (en) 2008-04-23 2023-08-15 Kioxia Corporation Three dimensional stacked nonvolatile semiconductor memory wherein first through fifth voltages are applied at different timings in a program operation
US9330761B2 (en) 2008-04-23 2016-05-03 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
US9275737B2 (en) 2008-04-23 2016-03-01 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
JP2009266945A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
JP2009266280A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three dimensional stacked nonvolatile semiconductor memory
JP2009266944A (en) * 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US8681551B2 (en) 2008-04-23 2014-03-25 Kabushiki Kaisha Toshiba Three dimensional stacked nonvolatile semiconductor memory
JP2009267243A (en) * 2008-04-28 2009-11-12 Toshiba Corp Non-volatile semiconductor storage device and method of manufacturing the same
US8072024B2 (en) 2008-05-13 2011-12-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2009277770A (en) * 2008-05-13 2009-11-26 Toshiba Corp Non-volatile semiconductor memory device and its production process
JP2009283498A (en) * 2008-05-19 2009-12-03 Toshiba Corp Nonvolatile storage and manufacturing method thereof
JP2009295617A (en) * 2008-06-02 2009-12-17 Toshiba Corp Nonvolatile semiconductor memory device
US8618603B2 (en) 2008-06-02 2013-12-31 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8247857B2 (en) 2008-06-02 2012-08-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US7851849B2 (en) 2008-06-03 2010-12-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device and method for manufacturing same
US8124514B2 (en) 2008-06-03 2012-02-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device and method for manufacturing same
USRE45732E1 (en) 2008-06-03 2015-10-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor storage device and method for manufacturing same
US8017993B2 (en) 2008-06-30 2011-09-13 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2010010596A (en) * 2008-06-30 2010-01-14 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method
US8653577B2 (en) 2008-07-11 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
WO2010004706A1 (en) 2008-07-11 2010-01-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8030700B2 (en) 2008-07-25 2011-10-04 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2010034112A (en) * 2008-07-25 2010-02-12 Toshiba Corp Semiconductor memory device
US8044448B2 (en) 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010040122A (en) * 2008-08-06 2010-02-18 Toshiba Corp Method of driving nonvolatile semiconductor memory device
US7969789B2 (en) 2008-08-06 2011-06-28 Kabushiki Kaisha Toshiba Method for driving nonvolatile semiconductor memory device
US8193571B2 (en) 2008-08-18 2012-06-05 Kabushiki Kaisha Toshiba Stacked type nonvolatile semiconductor memory device and method of manufacturing same
US8084807B2 (en) 2008-08-19 2011-12-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8373222B2 (en) 2008-09-10 2013-02-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device with alternately stacked isolation dielectric films and electrode films
US8569133B2 (en) 2008-09-25 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8148769B2 (en) 2008-09-25 2012-04-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8334551B2 (en) 2008-09-26 2012-12-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
WO2010035609A1 (en) 2008-09-26 2010-04-01 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
JP2010098235A (en) * 2008-10-20 2010-04-30 Toshiba Corp Non-volatile semiconductor storage device and method of manufacturing the same
US8237211B2 (en) 2008-10-20 2012-08-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
USRE45929E1 (en) 2008-10-21 2016-03-15 Kabushiki Kaisha Toshiba Three-dimensionally stacked nonvolatile semiconductor memory
USRE49113E1 (en) 2008-10-21 2022-06-21 Kioxia Corporation Three-dimensionally stacked nonvolatile semiconductor memory
US7983084B2 (en) 2008-10-21 2011-07-19 Kabushiki Kaisha Toshiba Three-dimensionally stacked nonvolatile semiconductor memory
US8228733B2 (en) 2008-10-21 2012-07-24 Kabushiki Kaisha Toshiba Three-dimensionally stacked nonvolatile semiconductor memory
JP2010102755A (en) * 2008-10-21 2010-05-06 Toshiba Corp Three-dimensionally stacked nonvolatile semiconductor memory
USRE47866E1 (en) 2008-10-21 2020-02-18 Toshiba Memory Corporation Three-dimensionally stacked nonvolatile semiconductor memory
JP2010114113A (en) * 2008-11-04 2010-05-20 Toshiba Corp Semiconductor memory device
US8089120B2 (en) 2008-11-04 2012-01-03 Kabushiki Kaisha Toshiba Semiconductor memory device
US7982261B2 (en) 2008-11-05 2011-07-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8217446B2 (en) 2008-11-10 2012-07-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010118530A (en) * 2008-11-13 2010-05-27 Toshiba Corp Nonvolatile semiconductor memory device
US8120961B2 (en) 2008-11-13 2012-02-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US7933151B2 (en) 2008-11-14 2011-04-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
USRE45832E1 (en) 2008-11-14 2016-01-05 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
USRE46949E1 (en) 2008-11-14 2018-07-10 Toshiba Memory Corporation Non-volatile semiconductor storage device
US8089121B2 (en) 2008-11-17 2012-01-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8786007B2 (en) 2008-12-03 2014-07-22 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
US11387249B2 (en) 2008-12-03 2022-07-12 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US11871571B2 (en) 2008-12-03 2024-01-09 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US9735170B2 (en) 2008-12-03 2017-08-15 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US9245839B2 (en) 2008-12-03 2016-01-26 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US9171860B2 (en) 2008-12-03 2015-10-27 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
US10546872B2 (en) 2008-12-03 2020-01-28 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
JP2010140996A (en) * 2008-12-10 2010-06-24 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
US8728919B2 (en) 2008-12-17 2014-05-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8338876B2 (en) 2008-12-17 2012-12-25 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8748969B2 (en) 2008-12-19 2014-06-10 Samsung Electronics Co., Ltd. Non-volatile memory device including dummy electrodes and method of fabricating the same
KR101495806B1 (en) 2008-12-24 2015-02-26 삼성전자주식회사 Non-volatile memory device
JP2010157734A (en) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd Semiconductor memory device, and method of forming the same
US8335109B2 (en) 2009-01-06 2012-12-18 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for operating the same
US8154068B2 (en) 2009-01-07 2012-04-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2010161132A (en) * 2009-01-07 2010-07-22 Toshiba Corp Nonvolatile semiconductor storage device and method for manufacturing the same
TWI397170B (en) * 2009-01-07 2013-05-21 Toshiba Kk Non-volatile semiconductor storage device and method of manufacturing the same
US8198669B2 (en) 2009-01-09 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JP2010165982A (en) * 2009-01-19 2010-07-29 Hitachi Ltd Semiconductor storage device
US9029934B2 (en) 2009-01-22 2015-05-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device including floating gate electrodes formed between control gate electrodes and vertically formed along a semiconductor pillar
US8440528B2 (en) 2009-01-22 2013-05-14 Kabushiki Kaisha Toshiba Method for manufacturing a vertical nonvolatile semiconductor memory device including forming floating gates within the recesses created on the interlayer insulating films
JP2010171185A (en) * 2009-01-22 2010-08-05 Toshiba Corp Nonvolatile semiconductor memory device and method for manufacturing the same
US8207029B2 (en) 2009-02-02 2012-06-26 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8264031B2 (en) 2009-02-06 2012-09-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9640545B2 (en) 2009-02-10 2017-05-02 Samsung Electronics Co., Ltd. Non-volatile memory devices including vertical NAND channels and methods of forming the same
US9331095B2 (en) 2009-02-10 2016-05-03 Samsung Electronics Co., Ltd. Vertically-integrated nonvolatile memory devices having laterally-integrated ground select transistors
US8644046B2 (en) 2009-02-10 2014-02-04 Samsung Electronics Co., Ltd. Non-volatile memory devices including vertical NAND channels and methods of forming the same
US9899401B2 (en) 2009-02-10 2018-02-20 Samsung Electronics Co., Ltd. Non-volatile memory devices including vertical NAND channels and methods of forming the same
KR101054532B1 (en) 2009-02-16 2011-08-04 가부시끼가이샤 도시바 Nonvolatile Semiconductor Memory and Manufacturing Method Thereof
US8916921B2 (en) 2009-02-16 2014-12-23 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device including a dielectric with low permittivity in memory cells arranged in a three dimensional manner
US8274108B2 (en) 2009-02-16 2012-09-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing the same
US8436414B2 (en) 2009-02-17 2013-05-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor stacked memory device having two semiconductor pillars in a through hole and method for manufacturing same
US8748971B2 (en) 2009-02-17 2014-06-10 Kabushiki Kaisha Toshiba Three dimensional nonvolatile semiconductor memory having pillars provided inside an oblate through hole
US8680604B2 (en) 2009-02-17 2014-03-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010192589A (en) * 2009-02-17 2010-09-02 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
US7799672B2 (en) 2009-02-18 2010-09-21 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US8233323B2 (en) 2009-02-24 2012-07-31 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8334561B2 (en) 2009-02-25 2012-12-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010199314A (en) * 2009-02-25 2010-09-09 Toshiba Corp Non-volatile semiconductor memory device and method for manufacturing the same
US8247863B2 (en) 2009-02-25 2012-08-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US7989880B2 (en) 2009-02-25 2011-08-02 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010199312A (en) * 2009-02-25 2010-09-09 Toshiba Corp Non-volatile semiconductor memory device and method for manufacturing the same
JP2010199311A (en) * 2009-02-25 2010-09-09 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
KR101049299B1 (en) 2009-02-25 2011-07-13 가부시끼가이샤 도시바 Nonvolatile Semiconductor Memory Device and Manufacturing Method Thereof
US9018696B2 (en) 2009-02-25 2015-04-28 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8759162B2 (en) 2009-02-25 2014-06-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8361862B2 (en) 2009-03-03 2013-01-29 Kabushiki Kaisha Toshiba Method for manufacturing nonvolatile semiconductor memory device and nonvolatile semiconductor memory device
US8174890B2 (en) 2009-03-11 2012-05-08 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
JP2010219409A (en) * 2009-03-18 2010-09-30 Toshiba Corp Nonvolatile semiconductor storage device
US8198670B2 (en) 2009-03-18 2012-06-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010225684A (en) * 2009-03-19 2010-10-07 Toshiba Corp Semiconductor memory device
US8829593B2 (en) 2009-03-19 2014-09-09 Kabushiki Kaisha Toshiba Semiconductor memory device having three-dimensionally arranged memory cells, and manufacturing method thereof
JP2010225222A (en) * 2009-03-23 2010-10-07 Toshiba Corp Non-volatile semiconductor storage device
US8203882B2 (en) 2009-03-23 2012-06-19 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US9601503B2 (en) 2009-03-24 2017-03-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8541866B2 (en) 2009-03-24 2013-09-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US11792992B2 (en) 2009-03-24 2023-10-17 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US11257842B2 (en) 2009-03-24 2022-02-22 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US10658383B2 (en) 2009-03-24 2020-05-19 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US9318503B2 (en) 2009-03-24 2016-04-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9312134B2 (en) 2009-03-24 2016-04-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9941296B2 (en) 2009-03-24 2018-04-10 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US10418378B2 (en) 2009-03-24 2019-09-17 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US8653582B2 (en) 2009-03-24 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8222122B2 (en) 2009-03-31 2012-07-17 Samsung Electronics Co., Ltd. Method of forming nonvolatile memory device
US9219072B2 (en) 2009-04-10 2015-12-22 Samsung Electronics Co., Ltd. Nonvolatile memory devices having a three dimensional structure utilizing strapping of a common source region and/or a well region
KR101495800B1 (en) 2009-04-10 2015-02-27 삼성전자주식회사 Nonvolatile Memory Devices
US8187938B2 (en) 2009-04-13 2012-05-29 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US8779502B2 (en) 2009-06-12 2014-07-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory
US8188530B2 (en) 2009-06-24 2012-05-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8507972B2 (en) 2009-06-25 2013-08-13 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8759897B2 (en) 2009-06-25 2014-06-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2011014638A (en) * 2009-06-30 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
US8247860B2 (en) 2009-07-01 2012-08-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8207565B2 (en) 2009-07-01 2012-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US8654586B2 (en) 2009-07-06 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8374033B2 (en) 2009-07-06 2013-02-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8169826B2 (en) 2009-07-15 2012-05-01 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8203884B2 (en) 2009-07-21 2012-06-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8791464B2 (en) 2009-07-21 2014-07-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8178919B2 (en) 2009-07-21 2012-05-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2011029234A (en) * 2009-07-21 2011-02-10 Toshiba Corp Nonvolatile semiconductor memory device
US8884355B2 (en) 2009-08-04 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8912060B2 (en) 2009-08-06 2014-12-16 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device and apparatus for manufacturing same
US8258033B2 (en) 2009-08-10 2012-09-04 Kabushiki Kaisha Toshiba Method of manufacturing nonvolatile semiconductor memory device
US8273628B2 (en) 2009-08-25 2012-09-25 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method including exposing electrode layers into a hole
JP2011049206A (en) * 2009-08-25 2011-03-10 Toshiba Corp Manufacturing method of semiconductor device, and semiconductor device
US8436415B2 (en) 2009-09-02 2013-05-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2011054802A (en) * 2009-09-02 2011-03-17 Toshiba Corp Nonvolatile semiconductor memory device, and method of manufacturing the same
US8169016B2 (en) 2009-09-04 2012-05-01 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8426908B2 (en) 2009-09-07 2013-04-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8900984B2 (en) 2009-09-10 2014-12-02 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2011060991A (en) * 2009-09-10 2011-03-24 Toshiba Corp Nonvolatile semiconductor memory device and method for manufacturing same
US8441061B2 (en) 2009-09-10 2013-05-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device with different doping concentration word lines
US8164956B2 (en) 2009-09-14 2012-04-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8358539B2 (en) 2009-09-14 2013-01-22 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8194467B2 (en) 2009-09-16 2012-06-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
USRE46957E1 (en) 2009-09-16 2018-07-17 Toshiba Memory Corporation Nonvolatile semiconductor memory device
US8426302B2 (en) 2009-09-17 2013-04-23 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US8107286B2 (en) 2009-09-18 2012-01-31 Kabushiki Kaisha Toshiba Three-dimensional nonvolatile semiconductor memory device for curbing a leak current and method of data read therein
JP2011065723A (en) * 2009-09-18 2011-03-31 Toshiba Corp Nonvolatile semiconductor memory device
US8199573B2 (en) 2009-09-25 2012-06-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
TWI450270B (en) * 2009-09-25 2014-08-21 Toshiba Kk Nonvolatile semiconductor memory device
USRE46785E1 (en) 2009-11-02 2018-04-10 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for driving same
US8189371B2 (en) 2009-11-02 2012-05-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for driving same
USRE49152E1 (en) 2009-11-02 2022-07-26 Kioxia Corporation Nonvolatile semiconductor memory device and method for driving same
JP2011096341A (en) * 2009-11-02 2011-05-12 Toshiba Corp Nonvolatile semiconductor memory
USRE48191E1 (en) 2009-11-02 2020-09-01 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for driving same
USRE45840E1 (en) 2009-11-02 2016-01-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for driving same
US8218358B2 (en) 2009-11-02 2012-07-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for driving same
US8698313B2 (en) 2009-11-04 2014-04-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory apparatus
US8338956B2 (en) 2009-11-09 2012-12-25 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
KR101624975B1 (en) * 2009-11-17 2016-05-30 삼성전자주식회사 Three dimensional semiconductor memory devices
US8378406B2 (en) 2009-12-17 2013-02-19 Kabushiki Kaisha Toshiba Multilayer stacked type nonvolatile semiconductor memory device
CN102104034A (en) * 2009-12-18 2011-06-22 三星电子株式会社 Three-dimensional semiconductor device
US9418911B2 (en) 2009-12-18 2016-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device having sidewall and interlayer molds
US9196525B2 (en) 2009-12-18 2015-11-24 Samsung Electronics Co., Ltd. Three-dimensional semiconductor device and method of fabricating the same
JP2011138579A (en) * 2009-12-28 2011-07-14 Toshiba Corp Non-volatile semiconductor memory device
US8581323B2 (en) 2010-01-08 2013-11-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing same
US8211783B2 (en) 2010-01-25 2012-07-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device including a patterned SiOC film as a mask
US8743614B2 (en) 2010-02-05 2014-06-03 Samsung Electronics Co., Ltd. Vertically-integrated nonvolatile memory devices having laterally-integrated ground select transistors
US9202571B2 (en) 2010-02-05 2015-12-01 Samsung Electronics Co., Ltd. Vertically-integrated nonvolatile memory devices having laterally-integrated ground select transistors
US8614917B2 (en) 2010-02-05 2013-12-24 Samsung Electronics Co., Ltd. Vertically-integrated nonvolatile memory devices having laterally-integrated ground select transistors
US9324440B2 (en) 2010-02-09 2016-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9330769B2 (en) 2010-02-09 2016-05-03 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8917558B2 (en) 2010-02-09 2014-12-23 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US10217516B2 (en) 2010-02-09 2019-02-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9378833B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9378831B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8964476B2 (en) 2010-02-17 2015-02-24 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US9747995B2 (en) 2010-02-17 2017-08-29 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8559224B2 (en) 2010-02-17 2013-10-15 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US9147492B2 (en) 2010-02-17 2015-09-29 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US9390803B2 (en) 2010-02-17 2016-07-12 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US11715537B2 (en) 2010-02-17 2023-08-01 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US10650903B2 (en) 2010-02-17 2020-05-12 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US11062784B2 (en) 2010-02-17 2021-07-13 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US10199116B2 (en) 2010-02-17 2019-02-05 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US9330770B2 (en) 2010-02-17 2016-05-03 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8427878B2 (en) 2010-02-17 2013-04-23 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8908431B2 (en) 2010-02-17 2014-12-09 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US8923060B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory devices and operating methods thereof
US8923053B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US8570805B2 (en) 2010-02-18 2013-10-29 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8929145B2 (en) 2010-02-18 2015-01-06 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8390055B2 (en) 2010-03-03 2013-03-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8792282B2 (en) 2010-03-04 2014-07-29 Samsung Electronics Co., Ltd. Nonvolatile memory devices, memory systems and computing systems
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
US8848456B2 (en) 2010-03-04 2014-09-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, erasing method thereof, and memory system including the same
JP2011187110A (en) * 2010-03-05 2011-09-22 Toshiba Corp Method for operating semiconductor memory device
US8338882B2 (en) 2010-03-10 2012-12-25 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US8981461B2 (en) 2010-03-19 2015-03-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
USRE45890E1 (en) 2010-03-23 2016-02-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8295091B2 (en) 2010-03-23 2012-10-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US11588032B2 (en) 2010-03-26 2023-02-21 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US11888042B2 (en) 2010-03-26 2024-01-30 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US10903327B2 (en) 2010-03-26 2021-01-26 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9768266B2 (en) 2010-03-26 2017-09-19 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9564499B2 (en) 2010-03-26 2017-02-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8823072B2 (en) 2010-04-15 2014-09-02 Samsung Electronics Co., Ltd. Floating gate type nonvolatile memory device and related methods of manufacture and operation
US8969947B2 (en) 2010-04-27 2015-03-03 Samsung Electronics Co., Ltd. Vertical memory devices with quantum-dot charge storage cells
US8730717B2 (en) 2010-05-10 2014-05-20 Hitachi, Ltd. Semiconductor device
US8633535B2 (en) 2010-06-09 2014-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory
JP2012004249A (en) * 2010-06-15 2012-01-05 Toshiba Corp Semiconductor memory and method of manufacturing the same
US9293563B2 (en) 2010-06-15 2016-03-22 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US8796757B2 (en) 2010-06-15 2014-08-05 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US8873290B2 (en) 2010-06-16 2014-10-28 Samsung Electronics Co., Ltd. Non-volatile memory device capable of multi-page programming by simultaneously activating a plurality of selection lines based on programmed data
US8717817B2 (en) 2010-06-16 2014-05-06 Samsung Electronics Co., Ltd. Method for multi-page programming a non-volatile memory device by simultaneously activating a plurality of selection lines based on programmed data
US8335111B2 (en) 2010-07-12 2012-12-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
US9881685B2 (en) 2010-08-26 2018-01-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
US9947416B2 (en) 2010-08-26 2018-04-17 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
US8315097B2 (en) 2010-09-21 2012-11-20 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8310875B2 (en) 2010-09-22 2012-11-13 Kabushiki Kaisha Toshiba Semiconductor memory device
US9437307B2 (en) 2010-09-22 2016-09-06 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2012094230A (en) * 2010-10-26 2012-05-17 Hynix Semiconductor Inc Semiconductor memory device and operation method thereof
US9450065B2 (en) 2010-11-10 2016-09-20 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9000504B2 (en) 2010-11-10 2015-04-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8633526B2 (en) 2010-11-10 2014-01-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8624317B2 (en) 2011-02-25 2014-01-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9524524B2 (en) 2011-03-08 2016-12-20 Bank Of America Corporation Method for populating budgets and/or wish lists using real-time video image analysis
US9317860B2 (en) 2011-03-08 2016-04-19 Bank Of America Corporation Collective network of augmented reality users
US9519923B2 (en) 2011-03-08 2016-12-13 Bank Of America Corporation System for collective network of augmented reality users
US9519924B2 (en) 2011-03-08 2016-12-13 Bank Of America Corporation Method for collective network of augmented reality users
US9519932B2 (en) 2011-03-08 2016-12-13 Bank Of America Corporation System for populating budgets and/or wish lists using real-time video image analysis
US8922657B2 (en) 2011-03-08 2014-12-30 Bank Of America Corporation Real-time video image analysis for providing security
US8721337B2 (en) 2011-03-08 2014-05-13 Bank Of America Corporation Real-time video image analysis for providing virtual landscaping
US8873807B2 (en) 2011-03-08 2014-10-28 Bank Of America Corporation Vehicle recognition
US9317835B2 (en) 2011-03-08 2016-04-19 Bank Of America Corporation Populating budgets and/or wish lists using real-time video image analysis
US9773285B2 (en) 2011-03-08 2017-09-26 Bank Of America Corporation Providing data associated with relationships between individuals and images
US8718612B2 (en) 2011-03-08 2014-05-06 Bank Of American Corporation Real-time analysis involving real estate listings
US10079238B2 (en) 2011-03-10 2018-09-18 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US9812458B2 (en) 2011-03-10 2017-11-07 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US9425107B2 (en) 2011-03-10 2016-08-23 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US8730738B2 (en) 2011-04-05 2014-05-20 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of operating nonvolatile memory devices
US9336887B2 (en) 2011-04-22 2016-05-10 Samsung Electronics Co., Ltd. Nonvolatile memory device including memory cell array with upper and lower word line groups
US8861267B2 (en) 2011-04-22 2014-10-14 Samsung Electronics Co., Ltd. Nonvolatile memory device including memory cell array with upper and lower word line groups
US9793287B2 (en) 2011-05-20 2017-10-17 Toshiba Memory Corporation Semiconductor wafer with first and second stacked bodies and semiconductor memory device
US9036411B2 (en) 2011-06-02 2015-05-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and data erase method thereof
US9490019B2 (en) 2011-06-02 2016-11-08 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and data erase method thereof
US8817538B2 (en) 2011-06-14 2014-08-26 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for erasing data thereof
JP2013004690A (en) * 2011-06-15 2013-01-07 Toshiba Corp Three-dimensional semiconductor device and method of manufacturing the same
US10672794B2 (en) 2011-06-17 2020-06-02 Toshiba Memory Corporation Semiconductor memory device including three-dimensional memory cell arrays
US8787061B2 (en) 2011-06-17 2014-07-22 Kabushiki Kaisha Toshiba Semiconductor memory device
US10332907B2 (en) 2011-06-17 2019-06-25 Toshiba Memory Corporation Semiconductor memory device with three-dimensional memory cells
US11430805B2 (en) 2011-06-17 2022-08-30 Kioxia Corporation Semiconductor memory device including three-dimensional memory cell arrays
US9508740B2 (en) 2011-06-17 2016-11-29 Kabushiki Kaisha Toshiba 3D stacked semiconductor memory architecture with conductive layer arrangement
JP2013004778A (en) * 2011-06-17 2013-01-07 Toshiba Corp Semiconductor storage device
US11917826B2 (en) 2011-06-17 2024-02-27 Kioxia Corporation Semiconductor memory device with three-dimensional memory cells
US9281016B2 (en) 2011-06-17 2016-03-08 Kabushiki Kaisha Toshiba 3D stacked semiconductor memory devices with sense amplifier electrically connected to a selecting circuit
US9929173B2 (en) 2011-06-17 2018-03-27 Toshiba Memory Corporation Method of controlling a semiconductor memory device
US11289506B2 (en) 2011-06-22 2022-03-29 Kioxia Corporation Semiconductor memory device and method for manufacturing same
US9728550B2 (en) 2011-06-22 2017-08-08 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9385137B2 (en) 2011-06-22 2016-07-05 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9576968B2 (en) 2011-06-28 2017-02-21 Kabushiki Kaisha Toshiba Semiconductor memory device with a three-dimensional stacked memory cell structure
US10586806B2 (en) 2011-06-28 2020-03-10 Toshiba Memory Corporation Semiconductor memory device with a three-dimensional stacked memory cell structure
US8797777B2 (en) 2011-06-28 2014-08-05 Kabushiki Kaisha Toshiba Semiconductor memory device
US10068916B2 (en) 2011-06-28 2018-09-04 Toshiba Memory Corporation Semiconductor memory device with a three-dimensional stacked memory cell structure
US9076675B2 (en) 2011-06-28 2015-07-07 Kabushiki Kaisha Toshiba Semiconductor memory device with a 3-dimensional stacked memory cell structure
JP2013055206A (en) * 2011-09-03 2013-03-21 Takehide Shirato Semiconductor device and method of manufacturing the same
US8760925B2 (en) 2011-09-26 2014-06-24 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
US8724397B2 (en) 2011-10-19 2014-05-13 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method of reading data thereof
US9209295B2 (en) 2011-12-06 2015-12-08 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US10490563B2 (en) 2012-04-10 2019-11-26 Toshiba Memory Corporation Nonvolatile semiconductor memory device having floating gate-type memory cells and method for manufacturing same
US9978765B2 (en) 2012-04-10 2018-05-22 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US11737262B2 (en) 2012-04-10 2023-08-22 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US11011532B2 (en) 2012-04-10 2021-05-18 Toshiba Memory Corporation Floating gate nonvolatile semiconductor memory device
US9287388B2 (en) 2012-04-10 2016-03-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8884356B2 (en) 2012-09-05 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8969945B2 (en) 2012-09-05 2015-03-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9183935B2 (en) 2012-09-21 2015-11-10 Kabushiki Kaisha Toshiba Semiconductor memory device having programmable select transistors within memory units
JP2013042179A (en) * 2012-11-15 2013-02-28 Toshiba Corp Nonvolatile semiconductor storage device
JP2014127475A (en) * 2012-12-25 2014-07-07 Hitachi High-Technologies Corp Method of manufacturing semiconductor device
US10461030B2 (en) 2013-01-17 2019-10-29 Samsung Electronics Co., Ltd. Pad structures and wiring structures in a vertical type semiconductor device
JP2014138188A (en) * 2013-01-17 2014-07-28 Samsung Electronics Co Ltd Pad structures and wiring structures in vertical type semiconductor device
US9190499B2 (en) 2013-03-25 2015-11-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device, capacitance element, and method for manufacturing nonvolatile semiconductor memory device
US9177809B2 (en) 2013-07-03 2015-11-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9305936B2 (en) 2013-07-03 2016-04-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2015026674A (en) * 2013-07-25 2015-02-05 株式会社東芝 Non-volatile memory device and method of manufacturing the same
US9196627B2 (en) 2013-07-30 2015-11-24 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of fabricating the same
US9029938B2 (en) 2013-09-10 2015-05-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9741438B2 (en) 2013-09-16 2017-08-22 Samsung Electronics Co., Ltd. Nonvolatile memory device and program method thereof
US9324446B2 (en) 2013-11-15 2016-04-26 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device, and memory system
US9627495B2 (en) 2014-01-15 2017-04-18 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device
US9337319B2 (en) 2014-01-15 2016-05-10 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for manufacturing semiconductor device
US9601505B2 (en) 2014-01-16 2017-03-21 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9780147B2 (en) 2014-02-03 2017-10-03 Toshiba Memory Corporation Semiconductor memory device
US9437296B2 (en) 2014-02-03 2016-09-06 Kabushiki Kaisha Toshiba Three-dimensional resistive memory device with adjustable voltage biasing
US9711658B2 (en) 2014-03-03 2017-07-18 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9530793B2 (en) 2014-03-03 2016-12-27 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US9373631B2 (en) 2014-03-04 2016-06-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9230975B2 (en) 2014-03-04 2016-01-05 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9691784B2 (en) 2014-09-05 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor memory device
US9502431B2 (en) 2014-09-17 2016-11-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9269445B1 (en) 2014-09-17 2016-02-23 Kabushiki Kaisha Toshiba Semiconductor memory device
WO2016139727A1 (en) * 2015-03-02 2016-09-09 株式会社 東芝 Semiconductor storage device and method for manufacturing same
US10686045B2 (en) 2015-03-02 2020-06-16 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP2016167325A (en) * 2015-03-09 2016-09-15 株式会社東芝 Semiconductor storage
JP2018513559A (en) * 2015-04-24 2018-05-24 ソニーセミコンダクタソリューションズ株式会社 Socket structure of 3D memory
JP2017045492A (en) * 2015-08-27 2017-03-02 株式会社東芝 Semiconductor memory device
US9911749B2 (en) 2015-09-09 2018-03-06 Toshiba Memory Corporation Stacked 3D semiconductor memory structure
US10797077B2 (en) 2015-09-11 2020-10-06 Toshiba Memory Corporation Three-dimensional semiconductor memory device including slit with lateral surfaces having periodicity
US10074665B2 (en) 2015-09-11 2018-09-11 Toshiba Memory Corporation Three-dimensional semiconductor memory device including slit with lateral surfaces having periodicity
US10541251B2 (en) 2015-09-11 2020-01-21 Toshiba Memory Corporation Three-dimensional semiconductor memory device including slit with lateral surfaces having periodicity
US9865351B2 (en) 2015-09-11 2018-01-09 Toshiba Memory Corporation Memory system with non-volatile memory device that is capable of single or simulataneous multiple word line selection
US10090312B2 (en) 2015-12-29 2018-10-02 Toshiba Memory Corporation Semiconductor memory device
US10020319B2 (en) 2016-01-27 2018-07-10 Toshiba Memory Corporation Semiconductor memory device having pillars on a peripheral region and method of manufacturing the same
US9679662B1 (en) 2016-02-01 2017-06-13 Kabushiki Kaisha Toshiba Memory device
US9728552B1 (en) 2016-02-09 2017-08-08 Kabushiki Kaisha Toshiba Semiconductor memory device having voids between word lines and a source line
US10037813B2 (en) 2016-02-12 2018-07-31 Toshiba Memory Corporation Semiconductor memory device
US10319450B2 (en) 2016-02-12 2019-06-11 Toshiba Memory Corporation Semiconductor memory device
US10614900B2 (en) 2016-02-12 2020-04-07 Toshiba Memory Corporation Semiconductor memory device
US10796779B2 (en) 2016-02-12 2020-10-06 Toshiba Memory Corporation Semiconductor memory device
US9905510B2 (en) 2016-02-17 2018-02-27 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US10020320B2 (en) 2016-02-24 2018-07-10 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US10026748B2 (en) 2016-03-09 2018-07-17 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing same
US10943914B2 (en) 2016-03-10 2021-03-09 Toshiba Memory Corporation Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member
US9960173B2 (en) 2016-03-10 2018-05-01 Toshiba Memory Corporation Semiconductor memory device
US10672779B2 (en) 2016-03-10 2020-06-02 Toshiba Memory Corporation Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member
US10217757B2 (en) 2016-03-10 2019-02-26 Toshiba Memory Corporation Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member
US10468430B2 (en) 2016-03-11 2019-11-05 Toshiba Memory Corporation Semiconductor storage device
US9941013B2 (en) 2016-03-15 2018-04-10 Toshiba Memory Corporation Memory device that performs sensing operation during a bit line pre-charge operation to determine adjustment to the bit line charging voltage
US9786683B1 (en) 2016-03-18 2017-10-10 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US10134749B2 (en) 2016-04-20 2018-11-20 Toshiba Memory Corporation Semiconductor memory device
US10581988B2 (en) 2016-06-08 2020-03-03 Bank Of America Corporation System for predictive use of resources
US10433196B2 (en) 2016-06-08 2019-10-01 Bank Of America Corporation System for tracking resource allocation/usage
US10291487B2 (en) 2016-06-08 2019-05-14 Bank Of America Corporation System for predictive acquisition and use of resources
US10178101B2 (en) 2016-06-08 2019-01-08 Bank Of America Corporation System for creation of alternative path to resource acquisition
US10129126B2 (en) 2016-06-08 2018-11-13 Bank Of America Corporation System for predictive usage of resources
US11412054B2 (en) 2016-06-08 2022-08-09 Bank Of America Corporation System for predictive use of resources
US11903210B2 (en) 2016-08-12 2024-02-13 Kioxia Corporation Semiconductor memory device
US11081492B2 (en) 2016-08-12 2021-08-03 Toshiba Memory Corporation Semiconductor memory device
US10672782B2 (en) 2016-08-12 2020-06-02 Toshiba Memory Corporation Semiconductor memory device
US10276585B2 (en) 2016-08-12 2019-04-30 Toshiba Memory Corporation Semiconductor memory device
US11848228B2 (en) 2016-08-31 2023-12-19 Kioxia Corporation Semiconductor device
US11004731B2 (en) 2016-08-31 2021-05-11 Kioxia Corporation Semiconductor device
US10115627B2 (en) 2016-08-31 2018-10-30 Toshiba Memory Corporation Semiconductor device
US10049760B2 (en) 2016-09-06 2018-08-14 Toshiba Memory Corporation Programming and verification methods for three-dimensional memory device
US9929166B1 (en) 2016-09-12 2018-03-27 Toshiba Memory Corporation Semiconductor device
US10991708B2 (en) 2016-09-21 2021-04-27 Toshiba Memory Corporation Semiconductor device for preventing an increase in resistance difference of an electrode layer
US10475809B2 (en) 2016-11-08 2019-11-12 Toshiba Memory Corporation Semiconductor memory device
US10153296B2 (en) 2017-02-24 2018-12-11 Toshiba Memory Corporation Memory device and method for manufacturing same
US10622304B2 (en) 2017-03-22 2020-04-14 Toshiba Memory Corporation Storage device including multiple wiring and electrode layers
US10347652B2 (en) 2017-03-23 2019-07-09 Toshiba Memory Corporation Semiconductor memory device
JP7211969B2 (en) 2017-04-27 2023-01-24 アプライド マテリアルズ インコーポレイテッド Low-k oxide and low resistance OP stacks for 3D NAND applications
JP2020518136A (en) * 2017-04-27 2020-06-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Low dielectric constant oxide and low resistance OP stack for 3D NAND applications
US10546867B2 (en) 2017-08-28 2020-01-28 Toshiba Memory Corporation Method for manufacturing semiconductor device and semiconductor device
US10475806B2 (en) 2017-09-07 2019-11-12 Toshiba Memory Corporation Semiconductor memory device including stacked body with conductivity and insulating members and method for manufacturing the same
US10607935B2 (en) 2017-09-15 2020-03-31 Toshiba Memory Corporation Memory device
US10438801B2 (en) 2017-09-22 2019-10-08 Toshiba Memory Corporation Semiconductor memory device
US10896915B2 (en) 2018-01-09 2021-01-19 Toshiba Memory Corporation Semiconductor memory device
US11557605B2 (en) 2018-01-09 2023-01-17 Kioxia Corporation Semiconductor memory device
US10748920B2 (en) 2018-02-19 2020-08-18 Toshiba Memory Corporation Semiconductor memory device
US11501998B2 (en) 2018-03-02 2022-11-15 Tokyo Electron Limited Method for manufacturing three-dimensional semiconductor memory device
KR20200124673A (en) 2018-03-02 2020-11-03 도쿄엘렉트론가부시키가이샤 3D semiconductor memory device manufacturing method
US10347650B1 (en) 2018-03-20 2019-07-09 Toshiba Memory Corporation Semiconductor memory device
JP7351629B2 (en) 2018-04-09 2023-09-27 三星電子株式会社 Vertical memory device and its manufacturing method
JP2019186540A (en) * 2018-04-09 2019-10-24 三星電子株式会社Samsung Electronics Co.,Ltd. Vertical memory devices and methods of manufacturing the same
US10714496B2 (en) 2018-05-31 2020-07-14 Toshiba Memory Corporation Semiconductor memory
US10741573B2 (en) 2018-05-31 2020-08-11 Toshiba Memory Corporation Semiconductor memory
US11387247B2 (en) 2018-05-31 2022-07-12 Kioxia Corporation Semiconductor memory
US11049877B2 (en) 2018-05-31 2021-06-29 Kioxia Corporation Semiconductor memory
US11737279B2 (en) 2018-05-31 2023-08-22 Kioxia Corporation Semiconductor memory
US10978468B2 (en) 2018-05-31 2021-04-13 Toshiba Memory Corporation Semiconductor memory
US11574663B2 (en) 2018-09-14 2023-02-07 Kioxia Corporation Data latch circuit and semiconductor memory device
US10867641B2 (en) 2018-09-14 2020-12-15 Toshiba Memory Corporation Data latch circuit and semiconductor memory device
US11201219B2 (en) 2018-09-14 2021-12-14 Toshiba Memory Corporation Integrated circuit device and method of manufacturing integrated circuit device
WO2020054109A1 (en) * 2018-09-14 2020-03-19 東芝メモリ株式会社 Integrated circuit device and method for manufacturing integrated circuit device
KR20220103108A (en) 2019-11-21 2022-07-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor devices and electronic devices
KR20220128347A (en) 2020-01-17 2022-09-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 A semiconductor device, a method of driving a semiconductor device, and an electronic device
US11238935B2 (en) 2020-03-18 2022-02-01 Kioxia Corporation Semiconductor memory device
US11574682B2 (en) 2020-07-20 2023-02-07 Kioxia Corporation Semiconductor memory device
US11862247B2 (en) 2020-07-20 2024-01-02 Kioxia Corporation Semiconductor memory device

Also Published As

Publication number Publication date
US11374021B2 (en) 2022-06-28
US20220328517A1 (en) 2022-10-13
US11903205B2 (en) 2024-02-13
KR100884861B1 (en) 2009-02-23
KR20070096972A (en) 2007-10-02
US9748260B2 (en) 2017-08-29
US20220302166A1 (en) 2022-09-22
US20110284947A1 (en) 2011-11-24
US11362106B2 (en) 2022-06-14
US20070252201A1 (en) 2007-11-01
JP5016832B2 (en) 2012-09-05
CN100550392C (en) 2009-10-14
US20150372006A1 (en) 2015-12-24
US11903207B2 (en) 2024-02-13
US7936004B2 (en) 2011-05-03
US9064735B2 (en) 2015-06-23
US10916559B2 (en) 2021-02-09
US8551838B2 (en) 2013-10-08
US20110287597A1 (en) 2011-11-24
US20210126012A1 (en) 2021-04-29
CN101055875A (en) 2007-10-17
US20190148404A1 (en) 2019-05-16
US10211219B2 (en) 2019-02-19
US20210126011A1 (en) 2021-04-29
US20170338244A1 (en) 2017-11-23

Similar Documents

Publication Publication Date Title
JP5016832B2 (en) Nonvolatile semiconductor memory device and manufacturing method thereof
US8278695B2 (en) Nonvolatile semiconductor memory device and manufacturing method thereof
US7952136B2 (en) Nonvolatile semiconductor storage apparatus and method for manufacturing the same
US7910979B2 (en) Nonvolatile semiconductor memory device
JP4908238B2 (en) Nonvolatile semiconductor memory device
JP2008192857A (en) Nonvolatile semiconductor storage device and manufacturing method therefor
JP2008172164A (en) Semiconductor device
US20120299079A1 (en) Field side sub-bitline nor flash array and method of fabricating the same
US7851306B2 (en) Method for forming a flash memory device with straight word lines
US6177315B1 (en) Method of fabricating a high density EEPROM array
US7071511B2 (en) Nonvolatile semiconductor memory device having adjacent selection transistors connected together
JP2004186601A (en) Semiconductor memory device and its manufacturing method
US7029975B1 (en) Method and apparatus for eliminating word line bending by source side implantation
US20240138150A1 (en) Nonvolatile semiconductor memory device and manufacturing method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080805

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120316

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120515

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120611

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R151 Written notification of patent or utility model registration

Ref document number: 5016832

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350