WO2015045414A1 - ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法 - Google Patents

ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法 Download PDF

Info

Publication number
WO2015045414A1
WO2015045414A1 PCT/JP2014/004965 JP2014004965W WO2015045414A1 WO 2015045414 A1 WO2015045414 A1 WO 2015045414A1 JP 2014004965 W JP2014004965 W JP 2014004965W WO 2015045414 A1 WO2015045414 A1 WO 2015045414A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
pellicle
exposure
euv
light
Prior art date
Application number
PCT/JP2014/004965
Other languages
English (en)
French (fr)
Inventor
陽介 小野
高村 一夫
加賀山 陽史
小出 哲裕
Original Assignee
三井化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三井化学株式会社 filed Critical 三井化学株式会社
Priority to JP2015538917A priority Critical patent/JP6326056B2/ja
Publication of WO2015045414A1 publication Critical patent/WO2015045414A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof

Definitions

  • the present invention relates to a pellicle film, a pellicle using the pellicle film, an exposure original plate and an exposure apparatus, and a method for manufacturing a semiconductor device.
  • EUV has the property of being easily absorbed by any substance. Therefore, in the EUV lithography method, exposure is performed with a reflective optical system. Specifically, the resist is exposed by reflecting the EUV on the original plate on which the exposure pattern is formed. At this time, if a foreign substance adheres to the original, the foreign substance absorbs EUV or scatters EUV, so that the resist is not exposed to a desired pattern. Therefore, it has been studied to protect the EUV irradiation surface of the original plate with a pellicle.
  • the pellicle film that protects the original plate for EUV lithography is required to have (1) high transparency to EUV, and (2) little transmittance fluctuation due to decomposition, deformation, flow, etc. due to EUV irradiation. It is done.
  • a pellicle film that satisfies such requirements for example, a single crystal silicon film has been proposed (Patent Document 1).
  • Patent Documents 2 and 3 it has been proposed to use a resin film made of polybenzimidazole, polyphenylquinoxaline, polyimide or the like for a window material of a proportional counter or an X-ray bandpass filter.
  • the single crystal silicon film proposed in Patent Document 1 is not self-supporting and cannot be attached to the pellicle frame alone. Therefore, in Patent Document 1, a single crystal silicon film is formed on a mesh-shaped support member, and the support member is attached to the pellicle frame. However, when the support member is also disposed together with the pellicle film, there is a problem that the EUV transmittance at the position where the support member is disposed is lowered. In addition, the single crystal silicon film has a problem that the manufacturing process is complicated and expensive.
  • An object of the present invention is to provide a pellicle film having high transparency to exposure light such as EUV and high durability against irradiation of exposure light such as EUV.
  • the present inventors examined the EUV transmittance, heat resistance, and stability of EUV transmittance by EUV irradiation for various resin thin films. As a result, it was found that a specific resin thin film has high transparency to EUV. The present invention has been made based on such knowledge.
  • the transmittance of light having a wavelength of 13.5 nm is 50% or more, and aromatic polyimide, aliphatic polyimide, crosslinked polyethylene, crosslinked polystyrene, polyetherimide, polyphenylene sulfone, polyphenylene ether, polyether sulfone, poly A pellicle film comprising a resin thin film containing at least one resin selected from the group consisting of ether ether ketone, liquid crystal polymer, polyethylene terephthalate, aromatic polyamide, parylene, and a polymer compound containing a heteroaromatic ring.
  • the aromatic polyimide is an aromatic polyimide containing a repeating unit represented by the following general formula (1).
  • A is m-phenylenediamine, o-phenylenediamine, p-phenylenediamine, 3,3′-diaminodiphenyl ether, 3,4′-diaminodiphenyl ether, 4,4′-diaminodiphenyl ether, 3,3′-diaminodiphenyl sulfone.
  • B is pyromellitic dianhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic dianhydride, 2,3,3 ′, 4-biphenyl-tetracarboxylic dianhydride, 3,3 ′ , 4,4′-benzophenone tetracarboxylic dianhydride, 4,4′-oxydiphthalic dianhydride, and 3,3 ′, 4,4′-dipheny
  • the pellicle according to [6] which is used for EUV lithography.
  • An exposure original plate comprising an original plate and the pellicle according to [6] or [7] mounted on the original plate.
  • An exposure apparatus having a light source that emits exposure light, an optical system, and the exposure original plate according to claim 8, wherein the exposure light from the light source is transmitted to the exposure original plate through the optical system.
  • the exposure apparatus according to [10], wherein the exposure light is EUV light.
  • the exposure light is EUV light.
  • the pellicle film made of the resin thin film of the present invention has high transparency to exposure light such as EUV.
  • the resin thin film is easy to form and inexpensive.
  • FIG. 2 is a photograph of an EUV irradiated area taken with a non-contact three-dimensional shape measuring apparatus after the film of Example 1-1 was irradiated with EUV.
  • FIG. 2 is a photograph of an EUV irradiated region taken with a reflection optical microscope after the film of Example 1-1 was irradiated with EUV.
  • FIG. It is the photograph which imaged the EUV irradiation area
  • the pellicle film of the present invention is preferably used for lithography; particularly, lithography using exposure light having a short wavelength such as EUV light.
  • EUV light refers to light having a wavelength of 5 nm to 30 nm.
  • the exposure light of EUV lithography can be light with a wavelength of 5 nm to 30 nm, more preferably light with a wavelength of 5 nm to 13.5 nm.
  • the pellicle film of the present invention is required to have a high transmittance with respect to short wavelength light such as EUV light. That is, the pellicle film of the present invention is preferably made of an organic compound thin film having a light transmittance of 13.5 nm at a wavelength of 50% or more.
  • An organic compound thin film refers to a compound in which the compound constituting the thin film contains two elements of carbon and hydrogen. In addition to carbon and hydrogen, the organic compound thin film may contain at least one element selected from nitrogen, oxygen, sulfur, phosphorus, and halogen.
  • the transmittance is more preferably 80% or more, and more preferably 90% or more. The higher the transmittance, the less the EUV loss during exposure, which is preferable.
  • the transmittance of light passing through the pellicle film can be calculated as follows based on the thickness d and density ⁇ of the organic compound thin film constituting the pellicle film and the mass absorption coefficient ⁇ of the organic compound thin film.
  • the transmittance T is defined by the following formula (1).
  • I represents transmitted light intensity
  • I 0 represents incident light intensity.
  • the relationship represented by the following formula (2) holds among the transmitted light intensity I and the incident light intensity I 0 , the thickness d, the density ⁇ of the organic compound thin film, and the mass absorption coefficient ⁇ of the organic compound thin film.
  • the density ⁇ in the formula (2) is a density specific to the substance constituting the organic compound thin film. Further, the mass extinction coefficient ⁇ in the above formula (2) is obtained as follows. If the photon energy is greater than about 30 eV and the photon energy is sufficiently away from the absorption edge of the atoms, the mass extinction coefficient ⁇ does not depend on the bonding state between the atoms. The photon energy at a wavelength of 13.5 nm is around 92.5 eV, and is sufficiently away from the absorption edge of atoms. Therefore, the mass extinction coefficient ⁇ does not depend on the bonding state between atoms of the compound constituting the organic compound thin film.
  • a i is the atomic weight of each element i
  • n i is the number of each element i.
  • the pellicle film is formed based on the equations (1) and (2).
  • the transmittance of light with a wavelength of 13.5 nm of the organic compound thin film can be calculated.
  • the transmittance can also be calculated from the optical constant website of the X-ray Optical Center at Lawrence Berkeley National Laboratory.
  • the transmittance of exposure light such as EUV light of a pellicle film made of only hydrocarbons (carbon and hydrogen) is increased.
  • a film containing a large amount of nitrogen, oxygen, and fluorine has low permeability. Therefore, the kind and thickness of the thin film applied to the pellicle film can be appropriately selected in consideration of the kind of element contained in the organic compound thin film and the element mass absorption coefficient ⁇ i . For example, when the thickness of the pellicle film is increased, an organic compound thin film made of only hydrocarbons may be selected.
  • the thickness is made relatively thin.
  • the transmittance of light passing through the pellicle film can be adjusted by the material and thickness of the pellicle film.
  • the material and thickness of the organic compound thin film may be adjusted by the above method.
  • the transmittance of light having a wavelength of 13.5 nm is 50% or more, it can be used without any problem as a pellicle for EUV lithography, for example.
  • a polyimide film made of pyromellitic dianhydride (PMDA) and 4,4′-diaminodiphenyl ether (ODA) having a thickness of 100 nm has a light transmittance of 56% at a wavelength of 13.5 nm and a wavelength of 6.
  • the transmittance of light at 75 nm is 88%.
  • the high-density polyethylene film having a thickness of 100 nm has a light transmittance of 13.5 nm at a wavelength of 77% and a light transmittance of 6.75 nm at a wavelength of 95%.
  • the thickness of the pellicle film is reduced or a material with high transmittance is selected as compared with the case where the wavelength of exposure light is 13.5 nm. It is preferable.
  • the thickness of the pellicle membrane is preferably set in consideration of the strength of the membrane and the self-supporting property in addition to the transmittance.
  • the preferred thickness of the pellicle film is appropriately selected depending on the transmittance of the organic compound thin film constituting the pellicle film, and can be generally about 10 to 300 nm.
  • the ability of the pellicle membrane to stand on its own means that it cannot be torn, wrinkled or slacked when attached to the pellicle frame.
  • the thickness uniformity and surface roughness of the pellicle film are not particularly limited.
  • the film thickness is as long as there is no problem due to non-uniformity of film thickness, non-uniformity of transmittance due to surface roughness, or scattering of exposure light such as EUV light. Even if it is not uniform, there may be a certain degree of surface roughness.
  • the pellicle film can have an organic compound thin film and a support member for supporting the organic compound thin film in order to make the film self-supporting.
  • the support member include a mesh substrate made of silicon, metal, or the like, a metal wire, or the like.
  • a pellicle film can be formed by filling a gap between mesh-shaped substrates with an organic compound thin film to form a film.
  • an organic compound thin film may be laminated on the support member.
  • the organic compound thin film may be laminated on either the EUV incident surface or the surface opposite to the incident surface of the support member.
  • the transmittance may be non-uniform depending on the shape of the support member in addition to the decrease in the transmittance by the coverage area of the support member.
  • the organic compound thin film is preferably a resin thin film (polymer film) which is a self-supporting film.
  • the resin thin film is a thin film made of a compound in which a large number of atoms having a molecular weight of 10,000 or more are connected by covalent bonds, and includes a resin formed by polymerizing a plurality of monomers.
  • the elements constituting the resin thin film include two kinds of carbon and hydrogen, and may contain at least one element selected from nitrogen, oxygen, sulfur, phosphorus, and halogen in addition to carbon and hydrogen.
  • the thickness of the resin thin film is preferably 10 to 300 nm, and more preferably 10 to 100 nm.
  • the resin constituting the pellicle film preferably has a glass transition temperature or a melting point of 150 ° C. or higher, more preferably 200 ° C. or higher, and further preferably 250 ° C. or higher.
  • the melting point is preferably 150 ° C. or higher.
  • the melting point of the resin constituting the pellicle film is lower than 150 ° C.
  • the resin flows due to the heat generated during EUV irradiation, which may cause wrinkles or holes in the irradiation area of exposure light such as EUV light.
  • the melting point and glass transition temperature of the resin can be measured by a method in accordance with JIS K7121 (1987) using a differential scanning calorimeter (DSC-7 manufactured by Perkin Elmer).
  • the heating rate can be 10 ° C./min.
  • FIG. 1 is a graph showing the relationship between the temperature of a pellicle film and the elapsed time when EUV light with an irradiation intensity of 5 W / cm 2 is irradiated to a pellicle film having a transmittance of 90%, a film thickness of 20 nm, and an emissivity of 0.01 for 10 msec. It is.
  • This graph is obtained by numerical calculation on the assumption that all the EUV light absorbed by the pellicle film is changed into heat. As shown in FIG. 1, the temperature of the pellicle film rises to 450 ° C.
  • the temperature of the pellicle film I can see it going down.
  • the pellicle film during EUV irradiation is expected to have a high temperature, the pellicle film is required to have high heat resistance.
  • the resin constituting the pellicle film includes a conjugated structure such as an aromatic ring or an imide ring in the molecule.
  • a compound having a conjugated structure in the molecule constituting the resin delocalizes the energy of the absorbed ionizing radiation in the molecule. Therefore, the structure of such a compound is hardly changed by irradiation with exposure light such as EUV light, and deterioration due to exposure light exposure is small.
  • the amount of elemental fluorine in the resin constituting the pellicle film is preferably small.
  • fluorine since fluorine has a high mass absorption coefficient, when a large amount of fluorine element is contained, the transmittance of the pellicle film decreases.
  • the functional group containing a fluorine element easily undergoes a structural change and is easily decomposed by irradiation with exposure light such as EUV light. Therefore, it is preferable that the amount of elemental fluorine in the resin is small.
  • the structural portion serving as the skeleton of the resin contains less fluorine element.
  • the structural portion serving as the resin skeleton refers to a main chain portion.
  • the skeletal structure tends to change easily due to irradiation of exposure light such as EUV light.
  • decomposition products may be released as outgas and film strength may be reduced.
  • the fluorine element present in the branched structure part is decomposed by exposure light such as EUV light and emits outgas, but there is little possibility that the structure of the resin skeleton changes.
  • Preferred examples of the resin constituting the pellicle film satisfying these characteristics include aromatic polyimide, aliphatic polyimide, crosslinked polyethylene, crosslinked polystyrene, polyetherimide, polyphenylene sulfone, polyphenylene ether, polyether sulfone, and polyether. High molecular compounds containing ether ketone, liquid crystal polymer, polyethylene terephthalate, aromatic polyamide, parylene, and heteroaromatic ring are included. Examples of the polymer compound containing a heteroaromatic ring include aromatic polybenzazole and a polymer compound having a triazine structure. Only one type of resin may be included in the pellicle film, or two or more types of resins may be included. Furthermore, a copolymer of these resins may be used.
  • aromatic polyimide aliphatic polyimide (including aromatic ring), crosslinked polystyrene, aromatic polyetherimide, polyphenylene sulfone, polyphenylene ether, aromatic Preferred are resins having aromatic rings such as aromatic polyether sulfone, aromatic polyether ether ketone, aromatic liquid crystal polymer, polyethylene terephthalate, aromatic polyamide, aromatic polybenzazole, and parylene.
  • the aromatic polybenzazole is a resin having a repeating unit represented by the following general formula (A).
  • each X independently represents an S atom, an O atom or an NH group.
  • a compound in which X is an S atom is called benzothiazole
  • a compound in which X is an O atom is called benzoxazole
  • a substance in which X is an NH group is called benzimidazole.
  • the positional relationship between the N atom and the X atom may be trans or cis.
  • R in the general formula (A) is benzene, naphthalene, anthracene, or biphenyl.
  • R ′ in the general formula (A) is any group represented by the following chemical formula. All of the repeating units represented by the general formula (A) contained in the aromatic polybenzazole resin may be the same or different.
  • aromatic polyimide or aliphatic polyimide is preferable because it is easy to achieve both good transmittance and heat resistance.
  • the aromatic polyimide has a repeating unit represented by the general formula (1).
  • a in the general formula (1) is selected from divalent groups represented by the following general formula.
  • Z 1 to Z 10 in the above general formula are benzene, naphthalene, anthracene and phenanthrene, respectively.
  • X 1 to X 6 are each a single bond, —O—, —S—, —CO—, —COO—, —C (CH 3 ) 2 —, —C (CF 3 ) 2 —, —SO 2 — or —NHCO—.
  • Z 1 to Z 10 and X 1 to X 6 contained in a plurality of A may be the same or different.
  • a in the general formula (1) may be a divalent group derived from an aromatic diamine.
  • aromatic diamines include m-phenylenediamine, o-phenylenediamine, p-phenylenediamine, 1,4-diaminonaphthalene, 1,5-diaminonaphthalene, 1,8-diaminonaphthalene, 2,6-diaminonaphthalene.
  • B in the general formula (1) is selected from tetravalent groups represented by the following formula.
  • W 1 to W 10 in the above formula are benzene, naphthalene, anthracene, phenanthrene or perylene.
  • Y 1 to Y 5 are each a single bond, —O—, —S—, —CO—, —COO—, —C (CH 3 ) 2 —, —C (CF 3 ) 2 —, —SO 2.
  • W 1 to W 10 and Y 1 to Y 5 contained in a plurality of Bs that are — or —NHCO— may be the same or different.
  • B in the general formula (1) may be a tetravalent group derived from tetracarboxylic dianhydride, preferably a tetravalent group derived from aromatic tetracarboxylic dianhydride.
  • aromatic tetracarboxylic dianhydrides include pyromellitic dianhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic dianhydride, 2,3,3 ′, 4-biphenyl-tetra Carboxylic dianhydride, 3,3 ′, 4,4′-benzophenone tetracarboxylic dianhydride, 3,3 ′, 4,4′-diphenylsulfone tetracarboxylic dianhydride, 4,4′-oxydiphthalic acid Dianhydride, 1,2,4,5-naphthalenetetracarboxylic dianhydride, 1,2,5,6-naphthalenetetracarboxylic dianhydride, 1,2,6,7-
  • pyromellitic dianhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic dianhydride, 2,3,3 ′, 4-biphenyl-tetracarboxylic dianhydride, 3,3 ′, 4,4′-benzophenone tetracarboxylic dianhydride, 4,4′-oxydiphthalic dianhydride, 3,3 ′, 4,4′-diphenylsulfone tetracarboxylic dianhydride are more preferable, and pyromellitic acid An anhydride, 3,3 ′, 4,4′-biphenyltetracarboxylic dianhydride is more preferable.
  • the aromatic polyimide is a divalent derivative derived from a diamine other than the group derived from the aromatic diamine (other aromatic diamine, aliphatic diamine, etc.) as long as the desired physical properties are not impaired.
  • One or more tetravalent groups derived from other tetracarboxylic dianhydrides other than the group and groups derived from tetracarboxylic dianhydrides may be included.
  • the content ratio of divalent groups derived from other diamines in the aromatic polyimide is 40% by mass or less, preferably 20% by mass or less, more preferably 0% with respect to the total divalent groups derived from diamines. It can be set to mass%.
  • the content ratio of tetravalent groups derived from other tetracarboxylic dianhydrides is 40% by mass or less, preferably 20%, based on the total tetravalent groups derived from tetracarboxylic dianhydrides. It can be set to not more than mass%, more preferably 0 mass%.
  • the aliphatic polyimide can be a resin containing a repeating structural unit obtained by reacting an aliphatic diamine with a tetracarboxylic anhydride.
  • Aliphatic diamines also include alicyclic diamines.
  • aliphatic diamines examples include ethylenediamine, 1,3-diaminopropane, 1,4-diaminobutane, 1,5-diaminopentane, 1,6-diaminohexane, 1,7-diaminoheptane, and 1,8-diamino.
  • Alkylene diamines such as octane, 1,9-diaminononane, 1,10-diaminodecane, 1,11-diaminoundecane, 1,12-diaminododecane; Bis (aminomethyl) ether, bis (2-aminoethyl) ether, bis (3-aminopropyl) ether, bis [(2-aminomethoxy) ethyl] ether, bis [2- (2-aminoethoxy) ethyl] ether , Bis [2- (3-aminoprotoxy) ethyl] ether, 1,2-bis (aminomethoxy) ethane, 1,2-bis (2-aminoethoxy) ethane, 1,2-bis [2- (amino Ethylene glycol diamines such as methoxy) ethoxy] ethane, 1,2-bis [2- (2-aminoethoxy) ethoxy] ethane
  • the tetracarboxylic dianhydride is the same as the tetracarboxylic dianhydride for obtaining the above-mentioned aromatic polyimide, and may be preferably an aromatic tetracarboxylic dianhydride from the viewpoint of ensuring heat resistance. .
  • the aliphatic polyimide may further contain a divalent group derived from a diamine other than a group derived from an aliphatic diamine (for example, the above-mentioned aromatic diamine).
  • the content ratio of divalent groups derived from other diamines in the aliphatic polyimide is 40% by mass or less, preferably 20% by mass or less, more preferably 0% with respect to the total divalent groups derived from diamines. It may be mass%.
  • the resin constituting the pellicle film such as aromatic polyimide or aliphatic polyimide does not change in structure when irradiated with exposure light such as EUV light.
  • these resins are either a chain polymer that does not contain tertiary carbon, a cross-linked product of a chain polymer that does not contain tertiary carbon, or a polymer in which an aromatic ring is directly bonded to all tertiary carbons. It is preferable that it is 1 type.
  • the “crosslinked product of a chain polymer not containing tertiary carbon” means a crosslinked product in which “a chain polymer not containing tertiary carbon” is crosslinked.
  • a C—C bond containing a tertiary carbon generated by crosslinking of a chain polymer not containing a tertiary carbon or a tertiary carbon directly bonded to an aromatic ring is a C—C containing the tertiary carbon of the chain polymer. Less susceptible to cross-linking and decomposition than -C bonds. Therefore, these may contain tertiary carbon.
  • aromatic polyimide Since aromatic polyimide has high heat resistance, it does not easily flow even at high temperatures.
  • aromatic polyimide has no tertiary carbon and has a conjugated structure such as an imide ring or an aromatic ring in the molecular chain. Therefore, the structure can be obtained by delocalizing the energy of exposure light such as absorbed EUV light. Change can be suppressed.
  • the aromatic polyimide tends to cause a crosslinking reaction under an inert atmosphere such as in an ultrahigh vacuum even at a high temperature exceeding the thermal decomposition temperature.
  • aromatic polyimide itself decomposes to generate radicals from the polyimide skeleton, and the polyimide having the radicals easily causes a crosslinking reaction. Therefore, the product (residue) of the cross-linking reaction can remain as a film, so that fluctuations in transmittance can be suppressed. Therefore, aromatic polyimide is particularly preferable among polyimides.
  • the content ratio of the resin in the resin thin film is preferably 70% by mass or more, more preferably 80% by mass or more, further preferably 90% by mass or more, and particularly preferably 100% by mass.
  • the resin thin film may further contain an additive as a method for enhancing durability against exposure light such as oxidation, ultraviolet light and EUV light.
  • the additive means an antioxidant, a heat stabilizer, a light stabilizer and the like. Chemical stability against ultraviolet light and EUV light can be increased by capturing the radical species generated in the molecular chain of the resin by ultraviolet light or EUV light absorbed by the film. Even if EUV light absorbed by the film changes to heat and radical species are generated in the resin molecular chain by heat, these additives capture the radicals to suppress changes in the molecular structure, and are resistant to EUV. Can be increased.
  • additives include carbon materials such as graphene and graphite, and metal nanoparticles.
  • Carbon materials such as graphite and graphene have a conjugated system in the molecule, and the reaction of polyimide molecular chains can be suppressed by transferring the energy of EUV light absorbed by polyimide to graphite or graphene.
  • carbon materials such as graphene and graphite, and additives such as metal nanoparticles can increase the strength of the resin thin film. Therefore, even when the polyimide resin is modified, it is possible to suppress a decrease in film strength.
  • an appropriate film forming method can be selected according to properties such as solubility in a solvent, glass transition temperature, melting point, and stretching characteristics.
  • properties such as solubility in a solvent, glass transition temperature, melting point, and stretching characteristics.
  • a spin coating method or a solution casting method when using a resin that is soluble in a solvent, it is preferable to employ a spin coating method or a solution casting method.
  • a method such as uniaxial stretching, biaxial stretching, or melt extrusion molding.
  • the method for producing the resin thin film it is desirable to select a method with less residual stress due to resin orientation and thermal shrinkage. If there is little residual stress inside the resin thin film, the residual stress of the resin thin film that becomes high during exposure to exposure light such as EUV light is only slightly released, and the shape of the thin film does not deform or flow almost completely. It becomes possible to maintain.
  • a spin coating method or a solution casting method is desirable.
  • the resin solution is applied onto the substrate, so the external force applied during application is immediately relaxed, molecular chain orientation hardly occurs, and the resulting resin thin film has low residual stress. Prone.
  • an external force is applied to the resin to deform the resin to obtain a resin thin film. Therefore, the resin molecular chains are easily oriented, and the resulting resin thin film has high residual stress. It is easy to have.
  • a resin thin film made of polyimide is obtained by applying a polyimide precursor solution on a substrate (wafer or the like) by spin coating or the like, and then drying and imidizing to obtain a thin film; peeling the thin film from the substrate Can be obtained.
  • the polyimide precursor solution can be obtained by reacting the diamine component and the tetracarboxylic dianhydride component in the presence of an aprotic solvent such as N, N-dimethylacetamide or N-methyl-2-pyrrolidone. it can.
  • the solid content concentration of the polyimide precursor solution can be about 0.1 to 10% by mass, preferably about 0.5 to 5% by mass. .
  • the resin thin film applied on the substrate by spin coating or solution casting can be separated from the substrate to obtain a free-standing resin thin film.
  • the method of peeling the resin thin film from the substrate is not particularly limited as long as a film that is not torn, wrinkled, non-uniform in film thickness, and does not interfere with EUV lithography can be obtained.
  • the sacrificial layer may be a metal, oxide film, resin, salt, etc .; it can be a material that can be removed by a specific processing method.
  • the sacrificial layer can be a metal such as aluminum that dissolves in an acidic solution.
  • the pellicle film can be peeled from the substrate.
  • the natural oxide film is removed by dipping in a hydrofluoric acid aqueous solution, and the pellicle film is peeled off from the substrate. You can also.
  • the sacrificial layer laminated on the substrate may be a water-soluble material such as a partially saponified polyvinyl alcohol resin or a salt such as sodium chloride.
  • the pellicle film can be peeled from the substrate by immersing the laminate in water.
  • the material of the substrate is a material that can be removed by a specific processing method (for example, metal, oxide film, resin, salt, etc.), after laminating the pellicle film on the substrate
  • the pellicle film can be obtained by etching or dissolving the substrate.
  • the pellicle film is laminated on the surface of the copper foil, and then immersed in a cupric chloride etchant to etch the copper foil substrate and peel the pellicle film from the substrate. it can.
  • the pellicle film can be peeled from the glass substrate by laminating the pellicle film on the glass substrate and then etching the glass using hydrofluoric acid.
  • the pellicle film can be peeled off from the silicon wafer by laminating the pellicle film on the silicon wafer and then etching the silicon wafer by wet etching or dry etching.
  • an etchant such as KOH, TMAH, or hydrazine can be used.
  • dry etching etching gases such as fluorine-based (SF 6 , CF 4 , NF 3 , PF 5 , BF 3 , CHF 3 ), chlorine-based (Cl 2 , SiCl 4 ), bromine-based (IBr) can be used.
  • fluorine-based SF 6 , CF 4 , NF 3 , PF 5 , BF 3 , CHF 3
  • chlorine-based Cl 2 , SiCl 4
  • bromine-based IBr
  • the pellicle film can be peeled off from the substrate by laminating the pellicle film on the surface of the substrate and then dipping in water to etch the substrate.
  • the substrate is a plastic substrate, after the pellicle film is laminated on the surface of the plastic substrate, the plastic substrate can be etched by immersing the plastic substrate in a soluble solvent to peel the pellicle film from the plastic substrate.
  • the release agent may be applied or added to the substrate; it may be added to the resin solution.
  • concentration of the release agent in the substrate or the resin solution is desirably adjusted to such an extent that the release agent does not cause bleed out or phase separation to cause haze or contamination of the resin thin film.
  • the method for peeling the resin thin film is not particularly limited, and any method such as a mechanical peeling method or a method of peeling off by floating on the liquid surface can be selected.
  • the sacrificial layer formation and surface treatment on the substrate may be used in combination with a method of etching or dissolving the substrate. It is desirable that the material used for the sacrificial layer and the surface treatment be one that is difficult to remain on the surface and inside of the pellicle film and can be removed by an easy method. For example, there are etching by gas, evaporation by heat, washing with a solvent, decomposition and removal by light, etc., and these may be combined for removal.
  • the transmittance of light having a wavelength of 13.5 nm of the resin thin film can be 50% or more, preferably 80% or more, and more preferably 90% or more.
  • / transmittance before irradiation x100 (%)) may be 10% or less, more preferably 5% or less.
  • the resin constituting the resin thin film has high heat resistance, has a conjugated structure such as an aromatic ring or an imide ring, and does not contain tertiary carbon. It is preferable to use a resin (for example, aromatic polyimide).
  • Examples of methods for reducing the moisture contained in the resin thin film include a method of exposing the resin thin film in a dry atmosphere not containing water vapor; a method of baking the resin thin film and drying.
  • the resin thin film preferably has not only moisture but also reduced residual solvent.
  • the method for reducing the residual solvent contained in the resin thin film can be the same as described above.
  • a method for evaluating the strength of the resin thin film on the substrate As a method for evaluating the strength of the resin thin film on the substrate, an evaluation method using a nanoindenter can be mentioned. Examples of a method for evaluating the strength of a resin thin film that is a self-supporting film include a resonance method, a bulge test method, an evaluation method for the presence or absence of film breakage by air blow, and an evaluation method for the presence or absence of film breakage by a vibration test.
  • FIG. 2 is a diagram schematically showing a pellicle.
  • FIG. 2 shows an example of the pellicle of the present invention.
  • the pellicle 10 includes a pellicle film 12 and a pellicle frame 14 that supports the outer periphery of the pellicle film 12.
  • the pellicle film 12 is the aforementioned pellicle film. Therefore, the pellicle of the present invention is particularly suitable as a pellicle for EUV lithography.
  • the pellicle film 12 may be affixed directly to the pellicle frame 14; it may be affixed via the film adhesive layer 13 on one end face of the pellicle frame 14; It may be fixed using an attractive force such as a magnet.
  • FIG. 2 shows an example in which the pellicle film 12 is attached via the film adhesive layer 13.
  • an adhesive layer 15 for the original plate is provided on the other end surface of the pellicle frame 14.
  • the pellicle 10 shown in FIG. 2 is installed on the original plate via the original adhesive layer 15.
  • the arrangement method of the pellicle 10 is not particularly limited as long as the pellicle 10 can be disposed so that no foreign matter adheres to the surface of the original plate, and the original adhesive layer 15 may not be included in the pellicle 10.
  • a support member (not shown) for supporting the resin thin film may be laminated with the resin thin film.
  • the support member may be disposed on the original side of the resin thin film, or may be disposed on the incident surface side of exposure light such as EUV.
  • Examples of the support member include a mesh substrate made of silicon, metal, or the like, a metal wire, or the like.
  • an antioxidant film may be formed on the surface of the pellicle film 12 as long as the transmission of exposure light such as EUV light is not inhibited.
  • the antioxidant film may be a film made of SiOx (x ⁇ 2), SixNy (x / y is 0.7 to 1.5), SiON, SiC, Y 2 O 3 , YN, Mo, Ru, or Rh. .
  • a heat dissipation film may be formed on the surface of the pellicle film 12 as long as the transmission of exposure light such as EUV light is not inhibited.
  • the heat dissipation film is preferably a film made of a material having a high thermal emissivity or a material having a high thermal conductivity. Specifically, it may be a film made of the same material as the antioxidant film, a film made of Rb, Sr, Y, Zr, Nb, graphite, graphene, or the like.
  • the antioxidant film and the heat dissipation film may be formed on one surface of the pellicle film or on both surfaces.
  • the reflectance of exposure light such as EUV light at the interface between these layers can be calculated if the thickness of the pellicle film and the antioxidant film or the heat dissipation film and the types of elements constituting the pellicle film and the antioxidant film or the heat dissipation film are known. Can be calculated. Similar to the principle of an antireflection film that is generally used, the reflectance can be reduced by optimizing the thickness of the antioxidant film or the heat dissipation film.
  • the thickness of the anti-oxidation film and the heat dissipation film is within a range in which a decrease in the transmittance of exposure light such as EUV light due to absorption and a decrease in the transmittance of exposure light such as EUV light due to reflection are suppressed, and an antioxidant performance is obtained. It is desirable that the thickness be optimal.
  • the thickness of the antioxidant film is preferably about 1 to 10 nm, and more preferably about 2 to 5 nm. When the thickness of the antioxidant film is increased, exposure light such as EUV light is absorbed by the antioxidant film and the transmittance may be lowered, which is not desirable.
  • the thickness uniformity and surface roughness of the antioxidant film and heat dissipation film are not particularly limited. In the patterning process by irradiation of exposure light such as EUV light, there should be no problem with non-uniformity in the thickness of the antioxidant film or heat dissipation film, variation in transmittance due to surface roughness, or scattering of EUV light.
  • the antioxidant film and the heat dissipation film may be a continuous layer or a discontinuous layer, and their film thickness may be non-uniform or may have surface roughness.
  • the antioxidant film and the heat dissipation film can be formed by an arbitrary method such as a method such as sputtering or vapor deposition; or a method of applying and drying a solution.
  • film forming conditions such as gas pressure, film forming time, and substrate temperature are not particularly limited.
  • a pellicle film in which an antioxidant film or a heat dissipation film is laminated on one side, a pellicle film is formed on a silicon wafer by, for example, spin coating; an antioxidant film or a heat dissipation film is formed on the pellicle film by a method such as sputtering. After laminating; it can be obtained by immersing the laminate in water to peel and remove the silicon wafer or by etching away the silicon wafer.
  • a pellicle film with an anti-oxidation film or a heat dissipation film laminated on both sides is formed by, for example, sputtering or the like on the surface opposite to the pellicle film with an anti-oxidation film or a heat dissipation film laminated on one side.
  • a pellicle film is further laminated on the film and the heat dissipation film by a spin coat method, etc., and an antioxidant film and a heat dissipation film are further laminated on the pellicle film again by a sputtering method, and then the obtained laminate is immersed in water.
  • the silicon wafer can be peeled and removed, or the silicon wafer can be removed by etching.
  • the EUV resistance of the pellicle film 12 can be confirmed by performing various analyzes on the irradiated part and the unirradiated part when the pellicle film is irradiated with EUV.
  • composition analysis methods such as XPS measurement, EDS analysis, RBS, structural analysis methods such as XPS, EELS, IR measurement and Raman spectroscopy, film thickness evaluation methods such as ellipsometry, interference spectroscopy, X-ray reflection method, etc.
  • appearance and surface shape evaluation methods such as microscopic observation, SEM observation, and AFM observation can be used. The heat dissipation can be studied in more detail by combining the results of computer simulation analysis.
  • EUV light not only EUV light, but also methods such as vacuum ultraviolet irradiation, infrared irradiation, electron beam irradiation, plasma irradiation, and heat treatment may be appropriately selected according to the evaluation items, and the pellicle film resistance evaluation may be performed.
  • the pellicle frame 14 may be a pellicle frame similar to the conventional one, and may be a frame made of aluminum, stainless steel, copper, polyethylene, or ceramics.
  • the pellicle frame 14 is preferably provided with a vent hole 16 for keeping the air pressure between the region surrounded by the pellicle 10 and the original plate (not shown) and the inside of the exposure apparatus constant. Since exposure with EUV light or the like is performed in a vacuum environment, if these atmospheric pressures are not uniform, the pellicle film 12 may expand or contract due to a pressure difference or may be damaged.
  • a filter is preferably disposed in the vent hole 16 so that foreign matter does not enter a region surrounded by the pellicle and the original plate.
  • the filter can be a ULPA filter or a metal mesh.
  • the film adhesive layer 13 bonds the pellicle frame 14 and the pellicle film 12 together.
  • the film adhesive layer 13 is, for example, a fluoropolymer such as an acrylic resin adhesive, an epoxy resin adhesive, a silicone resin adhesive, a polyimide resin adhesive, or a fluorine-containing silicone adhesive.
  • the film adhesive layer 13 may not be used when at least one of the pellicle film 12 and the pellicle frame 14 has adhesiveness.
  • Examples of methods for evaluating the adhesion between the pellicle film 12 and the pellicle frame 14 include a method for evaluating the presence or absence of film tearing or peeling by air blow by changing pressure, area, distance, and angle, and vibration by changing acceleration and amplitude. A method for evaluating the presence or absence of film tearing or peeling by a test is included.
  • the original adhesive layer 15 bonds the pellicle frame 14 and the original (not shown).
  • the original adhesive layer 15 is, for example, a double-sided pressure-sensitive adhesive tape, a silicone resin pressure-sensitive adhesive, or an acrylic pressure-sensitive adhesive. From the viewpoint of maintaining the degree of vacuum during EUV exposure, it is preferable that the film adhesive layer 13 and the original adhesive layer 15 have less outgas.
  • the outgas can be evaluated using, for example, a temperature-programmed desorption gas analyzer.
  • the film adhesive layer 13 and the original adhesive layer 15 are exposed to exposure light such as EUV light scattered in the exposure apparatus, it is desirable that the film adhesive layer 13 and the original adhesive layer 15 have resistance to EUV light.
  • the resistance to EUV light or the like is low, adhesiveness and strength of the adhesive are reduced during exposure of EUV light and the like, and problems such as peeling of the adhesive and generation of foreign matter are likely to occur inside the exposure apparatus.
  • Resistance evaluation by irradiation with EUV light includes XPS measurement, EDS analysis, composition analysis methods such as RBS; structural analysis methods such as XPS, EELS, IR measurement, and Raman spectroscopy; ellipsometry, interference spectroscopy, X Film thickness evaluation methods such as a line reflection method; appearance and surface shape evaluation methods such as microscopic observation, SEM observation and AFM observation; strength and adhesiveness evaluation methods using a nanoindenter and a peel test can be used.
  • the pellicle of the present invention is not only used as a protective member for suppressing foreign matter from adhering to the original plate in the exposure apparatus, but also as a protective member for protecting the original plate during storage of the original plate or during transportation of the original plate. Good.
  • the circuit pattern is accurately transferred. Therefore, it is necessary that the exposure light transmittance is substantially uniform in the exposure range.
  • the pellicle film of the present invention a pellicle having a constant light transmittance in the exposure range can be obtained.
  • the pellicle of the present invention can be obtained through a process of fixing the pellicle film to the pellicle frame.
  • the method for fixing the pellicle film to the pellicle frame is not particularly limited.
  • the pellicle film peeled from the substrate may be fixed in contact with the pellicle frame. Specifically, the pellicle frame is brought into contact with the pellicle film floating on the sacrificial layer or the etching liquid surface of the substrate to fix the pellicle film to the pellicle frame, and the pellicle frame and the pellicle film are separated from the liquid surface. By pulling up, a pellicle film fixed to the pellicle frame can be obtained.
  • the pellicle film to which the pellicle frame is fixed may be peeled off from the substrate.
  • the method for fixing the pellicle frame to the pellicle film is not particularly limited.
  • the method for peeling the pellicle film on which the pellicle frame is fixed is not particularly limited, and a method using a sacrificial layer, a method for etching or dissolving the substrate, a method using a release agent, and a method for performing substrate surface treatment Any method can be used.
  • the pellicle film can be fixed to the pellicle frame without causing wrinkles or sagging in the pellicle film.
  • the pellicle film to which the pellicle frame is fixed is peeled from the substrate, stress tends to concentrate around the pellicle frame, and the pellicle film may be easily torn starting from the periphery of the pellicle frame. Therefore, by further providing a buffer layer that disperses stress between the pellicle frame and the pellicle film, the pellicle film can be prevented from being broken.
  • a part of the substrate on which the pellicle film is stacked may be etched to make the substrate a part of the pellicle frame. That is, after laminating the pellicle film on the substrate, the surface of the substrate opposite to the surface on which the pellicle film is laminated is masked according to the size of the frame, and the frame shape is left to be etched or dissolved.
  • a part of the substrate can be a pellicle frame.
  • a material of such a substrate a material that can be removed by a specific processing method such as metal, silicon wafer, glass, resin, salt, or the like can be used.
  • Exposure Master Plate The exposure master plate of the present invention has a master plate and a pellicle of the present invention mounted on the master plate. Since the exposure original plate of the present invention includes the pellicle of the present invention, the same effect as the above-described pellicle is obtained.
  • the original plate may include a support substrate, a reflective layer stacked on the support substrate, and an absorber layer stacked on the reflective layer.
  • the absorber layer selectively absorbs part of exposure light such as EUV light, whereby a desired image is formed on a sensitive substrate (for example, a semiconductor substrate with a photoresist film).
  • the reflective layer can be a multilayer film of molybdenum (Mo) and silicon (Si).
  • the absorber layer can be made of a material having high absorbability of exposure light such as EUV light, such as chromium (Cr) or tantalum nitride.
  • the pellicle is preferably mounted so as to cover the exposure light irradiation area of the original.
  • the method for attaching the pellicle to the original plate is not particularly limited.
  • the pellicle frame 14 may be directly attached to the original plate; the pellicle frame 14 may be attached to the original plate via the original adhesive layer 15 formed on one end surface of the pellicle frame 14.
  • the original plate and the pellicle frame 14 may be fixed using a mechanical fixing method or an attractive force such as a magnet.
  • the exposure apparatus of the present invention includes a light source that emits exposure light, the exposure original plate of the present invention, and an optical system that guides the exposure light emitted from the light source to the exposure original plate.
  • the exposure original plate of the present invention has an original plate and a pellicle mounted thereon; the exposure light emitted from the light source is arranged so as to pass through the pellicle film and be irradiated onto the original plate.
  • the exposure light in the present invention is preferably exposure light such as EUV light, and more preferably EUV light.
  • a semiconductor device By using such an exposure apparatus, a semiconductor device can be manufactured. Specifically, 1) a step of irradiating the original with the exposure light emitted from the light source through the pellicle (the pellicle film) and reflecting the original; and 2) exposing the exposure light reflected by the original,
  • the semiconductor device can be manufactured through a step of irradiating the sensitive substrate through the pellicle (the pellicle film) and exposing the sensitive substrate in a pattern.
  • a miniaturized pattern for example, a line width of 32 nm or less
  • pattern exposure with little resolution failure due to foreign matter can be performed.
  • FIG. 3 is a schematic sectional view showing an example of an EUV exposure apparatus.
  • the EUV exposure apparatus includes a light source 21 that emits EUV, an optical system 22 that guides light from the light source 21 to an original 23, an original 23 that reflects EUV in a pattern, and a pellicle 10 (on the EUV irradiation surface side).
  • the original plate 23 and the pellicle 10 are also referred to as an exposure original plate).
  • the light reflected by the original 23 is guided onto the sensitive substrate 24, and the sensitive substrate 24 is exposed in a pattern. Note that exposure with EUV light or the like is performed under reduced pressure conditions.
  • the light source 21 emits EUV toward the optical system 22.
  • the light source 21 includes a target material, a pulse laser irradiation unit, and the like. EUV is obtained by irradiating this target material with a pulse laser to generate plasma.
  • EUV When the target material is Xe, EUV having a wavelength of 13 to 14 nm is obtained.
  • the wavelength of light emitted from the light source 21 is not limited to 13 to 14 nm, and may be light having a wavelength suitable for the purpose within a wavelength range of 5 to 30 nm.
  • the light emitted from the light source 21 during EUV lithography has extremely high energy.
  • the irradiation intensity of EUV light on the pellicle film surface of the pellicle 10 is preferably 0.1 to 5 W / cm 2 , more preferably 0.5 to 5 W / cm 2 .
  • the EUV exposure of the sensitive substrate 24 is efficiently performed.
  • the optical system 22 collects the light emitted from the light source 21 and irradiates the original 23 with uniform illuminance.
  • the optical system 22 includes a plurality of multilayer mirrors for adjusting the EUV optical path, an optical coupler (optical integrator), and the like.
  • the multilayer film mirror is a multilayer film in which molybdenum (Mo) and silicon (Si) are alternately stacked.
  • the original version 23 is the same as described in 3. It can be the same as the original contained in the exposure original.
  • the pellicle 10 is mounted on the EUV irradiation surface side of the original 23. Since the foreign matter adhering to the original 23 absorbs or scatters EUV, it tends to cause poor resolution on the sensitive substrate. Therefore, the pellicle 10 is mounted so as to cover the EUV irradiation area of the original 23.
  • the method for attaching the pellicle 10 to the original plate 23 is the same as described in 3. above. It can be the same as described in the exposure master.
  • the EUV light reflected by the original plate 23 is applied to the sensitive substrate 24.
  • the sensitive substrate 24 is a substrate on which a resist is coated on a semiconductor wafer, and the resist is cured in a pattern by the EUV light reflected by the original plate 23. By developing this resist and etching the semiconductor wafer, a desired pattern is formed on the semiconductor wafer.
  • FIG. 4 is a schematic sectional view showing another example of an EUV exposure apparatus.
  • the EUV exposure apparatus includes a light source 31 that emits EUV, an illumination optical system 37 that guides light from the light source 31 to the original 33, an original 33 that reflects EUV in a pattern, and its EUV irradiation.
  • a pellicle 10 mounted on the surface side also referred to as an exposure original plate together with the original plate 33 and the pellicle 10) and a projection optical system 38 for guiding the light reflected by the original plate 33 to the sensitive substrate 34 may be included.
  • the illumination optical system 32 and the projection optical system 38 can usually include a plurality of multilayer reflection mirrors 32, 35, 36, etc. for adjusting the EUV optical path.
  • the above-mentioned pellicle 10 can be used not only for protecting the original plate 33 but also for filter windows 20 and 25 for capturing scattered particles (debris) from the EUV light source.
  • the filter windows 20 and 25 can be disposed between one or both of the illumination optical system 37 and the light source 31 and the illumination optical system 37 and the original plate 33 of the EUV exposure apparatus.
  • the two filter windows 20, 25 can have a similar structure.
  • Totally aromatic polyimide film A having a repeating unit represented by the following formula A stirring blade was attached to a 500 mL three-necked flask to prepare a synthesis container.
  • the synthetic vessel was charged with 32.68 g (0.1498 mol) of pyromellitic dianhydride (PMDA) as an aromatic tetracarboxylic dianhydride and 306.2 g of N-methylpyrrolidone (NMP) as a solvent. These were heated to 60 ° C. and stirred for 2 hours to obtain a PMDA composition having a solid content concentration of 17% by mass.
  • PMDA pyromellitic dianhydride
  • NMP N-methylpyrrolidone
  • polyimide precursor composition whose solid content concentration is 17 mass% was obtained.
  • the obtained polyimide precursor composition was diluted with NMP to obtain a polyimide precursor solution having a solid content concentration of 1 to 5% by mass.
  • the polyimide precursor solution was supplied onto a substrate for film formation from a dropper (solution supply means), and a film was produced with a spin coater.
  • a silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm.
  • the solvent was dried and imidation, and the uniform film
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • This polyimide precursor solution was supplied from a dropper (solution supply means) onto a substrate for film formation, and a film was produced with a spin coater.
  • a silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm.
  • the obtained film was peeled from the silicon wafer.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • This polyimide precursor solution was supplied from a dropper (solution supply means) onto a substrate for film formation, and a film was produced with a spin coater.
  • a silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • This polyimide precursor solution was supplied from a dropper (solution supply means) onto a substrate for film formation, and a film was produced with a spin coater.
  • a silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm. Next, it was kept at 230 ° C. for 30 minutes in an inert oven, and the solvent was dried and imidized to obtain a uniform film made of the above alicyclic polyimide (PMDA-NBDA). The obtained film was peeled from the silicon wafer.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • Fluororesin film having a repeating unit represented by the following formula (Cytop) A perfluoroether polymer having a cyclic structure (Cytop CTX-S, manufactured by Asahi Glass Co., Ltd.) was dissolved in perfluorotributylamine to obtain a perfluorotributylamine solution having a solid content concentration of 1 to 3%. This perfluorotributylamine solution was dropped on the substrate for film formation, and a film was produced with a spin coater. A silicon wafer was used as the film forming substrate. Depending on the film thickness to be set, the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm.
  • the film was dried at room temperature for 30 minutes and further heated and dried in an oven at 180 ° C. to obtain a film made of a uniform fluororesin.
  • the obtained film was peeled from the silicon wafer.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • Polystyrene film p-Xylene was added to polystyrene (average molecular weight 200,000) manufactured by Sigma-Aldrich to obtain a polystyrene solution having a solid content concentration of 1 to 3% by mass.
  • This polystyrene solution was supplied from a dropper (solution supply means) onto the substrate for film formation, and a film was produced with a spin coater.
  • a silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm. Next, it was kept at 200 ° C.
  • the film thickness was calculated from the infrared transmission absorptivity measured with a thin film measuring apparatus using reflectance spectroscopy (Filmetrics, F20) and an infrared spectrometer (FT / IR-300E, manufactured by JASCO).
  • High-density polyethylene film High-density polyethylene (Miperon, XM-220) manufactured by Mitsui Chemicals was dissolved in p-xylene by heating to obtain a solution having a solid content concentration of 1% by mass. This solution was applied on a silicon wafer heated to 100 ° C., and the solvent was dried to obtain a polyethylene film having a thickness of 2 to 5 ⁇ m. The obtained film was further heated and stretched to form a thin film. The film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • Polyolefin film A having a repeating unit represented by the following formula A 1: 1 mixed solvent of tetrahydrofuran (THF) and cyclohexane was added to methylpentene polymer (TPX (DX820), manufactured by Mitsui Chemicals) to obtain a polyolefin solution having a solid content concentration of 1 to 3% by mass.
  • This polyolefin solution was supplied from a dropper (solution supply means) onto a film-forming substrate, and a film was produced with a spin coater. A silicon wafer was used as the film forming substrate. Depending on the film thickness to be set, the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • Polyolefin film B having a repeating unit represented by the following formula Cyclic olefin copolymer solution having a solid content of 1 to 3% by mass by dissolving a powder of cyclic olefin copolymer (Apel (6011), Mitsui Chemicals) in p-xylene to partially dissolve it, and taking out the supernatant. Got.
  • This cyclic olefin copolymer solution was supplied from a dropper (solution supply means) onto a substrate for film formation, and a film was prepared with a spin coater. A silicon wafer was used as the film forming substrate.
  • the film was formed at a spin coating rotational speed of 1500 rpm to 3000 rpm. Next, it was kept at 200 ° C. for 10 minutes in an inert oven, and the solvent was dried to obtain a uniform film made of the polyolefin. The obtained film was peeled from the silicon wafer.
  • the film thickness was calculated from the infrared transmission absorptivity measured by a thin film measuring apparatus (F20, manufactured by Filmetrics) using reflectance spectroscopy and an infrared spectrometer (FT / IR-300E, manufactured by JASCO). .
  • the pellicle film (film) was evaluated as follows.
  • Tg, Tm measurement The melting point and glass transition temperature of the obtained film were measured by a method based on JIS K7121 (1987) using a differential scanning calorimeter (DSC-7 model manufactured by Perkin Elmer). The heating rate was 10 ° C./min.
  • EUV irradiation test Each film was irradiated with EUV irradiation equipment (Newsval (facility name) BL-10, Hyogo Prefectural University) with light (EUV) with a wavelength of 13.5 nm at an illuminance of 4 mW / cm 2 for 30 minutes perpendicular to the film surface. Irradiated in the direction (EUV irradiation condition (1)). The beam size obtained from the full width at half maximum of the incident light intensity was 1 mm ⁇ 0.8 mm.
  • Another film was irradiated with light (EUV) having a wavelength of 13.5 nm for 10 minutes at an illuminance of 90 mW / cm 2 using the same apparatus as EUV irradiation condition (1) (EUV irradiation condition (2)).
  • EUV irradiation condition (2) The beam size obtained from the full width at half maximum of the incident light intensity was 0.15 mm ⁇ 0.8 mm.
  • the film size was 0.5 to 1 cm square under any irradiation condition.
  • Another film was irradiated with light (EUV) having a wavelength of 13.5 nm for 10 minutes at an illuminance of 90 mW / cm 2 using the same apparatus as EUV irradiation condition (1) (EUV irradiation condition (2)).
  • Another film was irradiated with light (EUV) having a wavelength of 13.5 nm for 80 minutes at an illuminance of 90 mW / cm 2 using the same apparatus as EUV irradiation condition (1) (EUV irradiation condition (3)).
  • EUV irradiation condition (3) The beam size obtained from the full width at half maximum of the incident light intensity was 0.15 mm ⁇ 0.8 mm.
  • the film size was 0.5 to 1 cm square under any irradiation condition.
  • permeability after performing an EV irradiation test were calculated
  • the infrared absorption spectrum measuring apparatus was an FTS3100 UMA600 microscope system manufactured by Varian.
  • the measurement method was a transmission method, and an infrared absorption spectrum was measured with a resolution of 4 cm ⁇ 1 over a wave number range of 4000 to 700 cm ⁇ 1 .
  • the number of integrations was 128, and the measurement area was 100 ⁇ m ⁇ 100 ⁇ m. It was compared whether there was a change in the shape and intensity of a peak peculiar to each functional group or whether a new peak was generated between an EUV irradiated part and an unirradiated part.
  • Example 1-1 A plurality of wholly aromatic polyimide films A having a thickness of 20 nm were prepared. About this, the film self-supporting evaluation, the EUV irradiation test, and the infrared absorption spectrum measurement were performed. The results are shown in Table 1.
  • Example 1-2 Except that a wholly aromatic polyimide film A having a thickness of 50 nm was prepared, film self-supporting evaluation, EUV irradiation test, and infrared absorption spectrum measurement were performed in the same manner as in Example 1-1. The results are shown in Table 1.
  • Example 1 The various films having the thicknesses shown in Table 1 below were evaluated for film self-supporting property, EUV irradiation test, infrared absorption spectrum measurement, and transmittance measurement after EUV irradiation in the same manner as in Example 1-1. The results are shown in Table 1.
  • FIG. 5A and 5B are photographs of an example (Example 1-1: EUV irradiation condition (2)) in which no wrinkles were observed in the EUV irradiation region and no holes were observed.
  • FIG. 5A is a photograph taken with a non-contact three-dimensional shape measuring apparatus (wyko);
  • FIG. 5B is a photograph taken with a reflection optical microscope.
  • FIG. 6A and 6B are photographs of an example (Example 2: EUV irradiation condition (2)) in which wrinkles are seen in a part of the EUV irradiation region.
  • FIG. 6A is a photograph taken with a non-contact three-dimensional shape measuring apparatus (wyko);
  • FIG. 6B is a photograph taken with a reflective optical microscope.
  • FIG. 7 shows a photograph of an example in which there is a hole in the EUV irradiation region (Comparative Example 5: EUV irradiation condition (2)).
  • FIG. 7 is a photograph taken with a reflective optical microscope.
  • FIG. 8 shows an infrared absorption spectrum of an example in which no structural change was observed before and after EUV irradiation (Example 1-2: EUV irradiation condition (2)).
  • FIG. 9 shows an infrared absorption spectrum of an example (Comparative Example 5: EUV irradiation condition (2)) in which the structure is greatly changed before and after EUV irradiation.
  • FIG. 10 shows the relationship between the EUV transmittance and the irradiation time in an example (Example 2) in which the transmittance did not change after EUV irradiation.
  • the wholly aromatic polyimide films A and B used in Example 1 or 2 showed a constant value with the EUV transmittance unchanged from that before irradiation even after about 80 minutes of EUV irradiation (FIG. 10). reference).
  • Aromatic polyimide resin has high heat resistance, so it does not easily flow even at high temperatures; it has no tertiary carbon and has a conjugated structure such as an imide ring or aromatic ring in the molecular chain.
  • the single crystal silicon film does not have a self-supporting property even when the film thickness is large, and an auxiliary member is necessary (Comparative Example 1).
  • the melting point (Tm) is less than 150 ° C .; in a resin having only one of the glass transition temperature (Tg) and the melting point (Tm)
  • Tg glass transition temperature
  • Tm melting point
  • a hole was easily formed in the EUV irradiation part or a wrinkle was easily formed in the EUV irradiation region (Comparative Examples 1 and 3 to 5).
  • this tendency was observed under EUV irradiation conditions (2) where the EUV irradiation amount was large. This is presumably because heat was generated by EUV irradiation and the pellicle film flowed.
  • the pellicle film of the present invention made of a resin thin film has high transparency to exposure light such as EUV light. Moreover, the resin thin film is easily available and inexpensive. Therefore, a pellicle for protecting the original plate, preferably a pellicle for EUV lithography can be provided at low cost.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

 本発明の目的は、EUVなどの露光光に対して高い透過性を有し、かつEUVなどの露光光の照射に対して高い耐久性を有する、ペリクル膜を提供することである。本発明のペリクル膜は、波長13.5nmの光の透過率が50%以上であり、芳香族ポリイミド、脂肪族ポリイミド、架橋ポリエチレン、架橋ポリスチレン、ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、ポリエーテルサルフォン、ポリエーテルエーテルケトン、液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、パリレン、及びヘテロ芳香環を含む高分子化合物からなる群から選ばれる少なくとも1種の樹脂を含む樹脂薄膜からなる。

Description

ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
 本発明は、ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法に関する。
 半導体デバイスの高集積化及び微細化は、年々加速している。現在では、エキシマ露光にて45nm程度の線幅にパターニングする技術が開発されている。しかし近年、半導体のさらなる微細化に伴い、32nm以下の線幅にパターニングすることが求められている。このような微細加工は、従来のエキシマ露光では対応が難しく、露光光をより短波長のEUV(極端紫外光:Extreme Ultra Violet)に替えることが検討されている。
 EUVは、あらゆる物質に吸収されやすい特性を有する。そのため、EUVリソグラフィー法では、反射光学系で露光を行う。具体的には、EUVを、露光パターンが形成された原版で反射させて、レジストを露光する。この際、原版に異物が付着していると、異物がEUVを吸収、もしくはEUVを散乱させるため、レジストが所望のパターンに露光されない。そこで、原版のEUV照射面をペリクルで保護することが検討されている。
 EUVリソグラフィー用の原版を保護するペリクル膜には、(1)EUVに対して高い透過性を有すること、(2)EUV照射によって分解・変形や流動などに起因した透過率変動が少ないことが求められる。このような要求を満たすペリクル膜として、例えば単結晶シリコン膜が提案されている(特許文献1)。
 一方、ポリベンゾイミダゾールやポリフェニルキノキサリン、ポリイミド等からなる樹脂フィルムを比例計数管の窓材やX線のバンドパスフィルターに利用することが提案されている(特許文献2及び3)。
特開2010-25434号公報 米国特許第5261977号明細書 米国特許第5965065号明細書
 特許文献1で提案されている単結晶シリコン膜は自立性がなく、単体でペリクル枠に貼付できない。そこで、特許文献1では、メッシュ状の支持部材上に単結晶シリコン膜を成膜し、支持部材ごとペリクル枠に貼付している。しかし、ペリクル膜とともに支持部材も配設すると、支持部材の配設箇所のEUV透過率が低くなるという問題があった。また、単結晶シリコン膜は、製造工程が煩雑であり、さらに高価であるという難点もあった。
 本発明は、このような事情に鑑みてなされたものである。本発明は、EUVなどの露光光に対して高い透過性を有し、かつEUVなどの露光光の照射に対して高い耐久性を有する、ペリクル膜を提供することを目的とする。
 本発明者らは、種々の樹脂薄膜について、EUV透過性、耐熱性、及びEUV照射によるEUV透過率の安定性を検討した。その結果、特定の樹脂薄膜がEUVに対して高い透過性を有することを見出した。本発明はそのような知見に基づいてなされたものである。
 [1] 波長13.5nmの光の透過率が50%以上であり、芳香族ポリイミド、脂肪族ポリイミド、架橋ポリエチレン、架橋ポリスチレン、ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、ポリエーテルサルフォン、ポリエーテルエーテルケトン、液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、パリレン、及びヘテロ芳香環を含む高分子化合物からなる群から選ばれる少なくとも1種の樹脂を含む樹脂薄膜からなる、ペリクル膜。
 [2] 前記樹脂が、芳香族ポリイミドまたは脂肪族ポリイミドの少なくとも一方である、[1]に記載のペリクル膜。
 [3] 前記芳香族ポリイミドが、下記一般式(1)で表される繰り返し単位を含む芳香族ポリイミドである、[2]に記載のペリクル膜。
Figure JPOXMLDOC01-appb-C000001
(一般式(1)において、
 Aは、m-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3’-ジアミノジフェニルエーテル、3,4’-ジアミノジフェニルエーテル、4,4’-ジアミノジフェニルエーテル、3,3’-ジアミノジフェニルスルホン、4,4’-ジアミノジフェニルスルホン、3,3’-ジアミノベンゾフェノン、3,3’-ジアミノジフェニルメタン、4,4’-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、及び4,4’-ビス(3-アミノフェノキシ)ビフェニルからなる群から選択されるジアミンから誘導される2価の基であり;
 Bは、ピロメリット酸二無水物、3,3’,4,4’-ビフェニルテトラカルボン酸二無水物、2,3,3’,4-ビフェニル-テトラカルボン酸二無水物、3,3’,4,4’-ベンゾフェノンテトラカルボン酸二無水物、4,4’-オキシジフタル酸二無水物、及び3,3’,4,4’-ジフェニルスルホンテトラカルボン酸二無水物からなる群から選択されるテトラカルボン酸二無水物から誘導される4価の基である)
 [4] 前記樹脂のガラス転移温度または融点が150℃以上である、[1]~[3]のいずれかに記載のペリクル膜。
 [5] 前記樹脂薄膜の厚みが10~100nmである、[1]~[4]のいずれかに記載のペリクル膜。
 [6] [1]~[5]のいずれかに記載のペリクル膜と、前記ペリクル膜の外周を支持するペリクル枠とを含む、ペリクル。
 [7] EUVリソグラフィーに用いられる、[6]に記載のペリクル。
 [8] 原版と、前記原版に装着された[6]または[7]に記載のペリクルとを含む、露光原版。
 [9] [8]に記載の露光原版を有する、露光装置。
 [10] 露光光を放出する光源と、光学系と、請求項8に記載の露光原版とを有する露光装置であって、前記露光原版に、前記光源からの露光光が前記光学系を介して導かれ、前記露光原版は、前記光源から放出された露光光が前記露光原版のペリクル膜を透過して前記原版に照射されるように配置されている、[9]に記載の露光装置。
 [11] 前記露光光がEUV光である、[10]に記載の露光装置。
 [12] [8]に記載の露光原版を用いた半導体装置の製造方法であって、露光光を、前記露光原版のペリクル膜を透過させて原版に照射し、前記原版で反射させるステップと;前記原版によって反射された露光光を、前記ペリクル膜を透過させて感応基板に照射して、前記感応基板をパターン状に露光するステップとを有する、半導体装置の製造方法。
 [13] 前記露光光がEUV光である、[12]に記載の半導体装置の製造方法。
 本発明の樹脂薄膜からなるペリクル膜は、EUVなどの露光光に対する透過性が高い。また、樹脂薄膜は成膜が容易であり、安価である。
照射強度5W/cmのEUV光をペリクル膜(透過率90%、膜厚20nm、放射率0.01)に10msec間照射したときのペリクル膜の温度と経過時間の関係を示すグラフである。 本発明のペリクルの一例を示す概略断面図である。 本発明のEUV露光装置の一例を示す概略断面図である。 本発明のEUV露光装置の他の例を示す概略断面図である。 実施例1-1のフィルムをEUV照射した後、EUV照射領域を非接触3次元形状測定装置で撮影した写真である。 実施例1-1のフィルムをEUV照射した後、EUV照射領域を反射型光学顕微鏡で撮影した写真である。 実施例2のフィルムをEUV照射した後、EUV照射領域を非接触3次元形状測定装置で撮影した写真である。 実施例2のフィルムをEUV照射した後、EUV照射領域を反射型光学顕微鏡で撮影した写真である。 比較例5のフィルムについて、EUV照射した後、EUV照射領域を反射型光学顕微鏡で撮影した写真である。 実施例1-2のフィルムの、EUV照射前後のIRスペクトル(赤外線吸収スペクトル)である。 比較例5のフィルムの、EUV照射前後のIRスペクトル(赤外線吸収スペクトル)である。 実施例2のEUV透過率と照射時間の関係を示すグラフである。
 1.ペリクル膜について
 本発明のペリクル膜は、リソグラフィー;特にEUV光等の短波長の露光光を用いたリソグラフィーに好ましく用いられる。本発明において、EUV光とは、波長5nm~30nmの光をいう。EUVリソグラフィーの露光光は、波長5nm~30nmの光とすることができ、より好ましくは波長5nm~13.5nmの光である。
 従って、本発明のペリクル膜は、EUV光などの短波長の光に対して高い透過率を有することが求められる。即ち、本発明のペリクル膜は、波長13.5nmの光の透過率が、50%以上である有機化合物薄膜からなることが好ましい。有機化合物薄膜とは薄膜を構成している化合物が炭素と水素の2元素を含んでいるものをいう。また有機化合物薄膜は炭素と水素以外にも窒素、酸素、硫黄、リン、ハロゲンのうち少なくとも一種類以上の元素を含んでいてもよい。上記透過率は、80%以上であることがより好ましく、90%以上であることがより好ましい。上記透過率が高いほど、露光時のEUV損失量が少なくなり好ましい。
 ペリクル膜を透過する光の透過率は、ペリクル膜を構成する有機化合物薄膜の厚みd、密度ρ、及び有機化合物薄膜の質量吸光係数μに基づき、以下のように算出できる。
 透過率Tは以下の式(1)で定義される。
Figure JPOXMLDOC01-appb-M000001
 式(1)中、Iは透過光強度、Iは入射光強度を示す。透過光強度I及び入射光強度I、有機化合物薄膜の厚みd、密度ρ、及び有機化合物薄膜の質量吸光係数μには、以下の式(2)で表される関係が成り立つ。
Figure JPOXMLDOC01-appb-M000002
 式(2)における密度ρは有機化合物薄膜を構成する物質固有の密度である。また、上記式(2)における質量吸光係数μは、以下のように求められる。光子のエネルギーがおよそ30eVより大きく、なおかつ光子のエネルギーが原子の吸収端から十分に離れている場合、質量吸光係数μは原子どうしの結合状態等に依存しない。波長13.5nmの光子エネルギーは、92.5eV付近であり、原子の吸収端からも十分に離れている。よって、上記質量吸光係数μは、有機化合物薄膜を構成する化合物の原子同士の結合状態に依存しない。そのため、ペリクル膜を構成する有機化合物薄膜全体の質量吸収係数μは、有機化合物薄膜を構成する各元素(1,2,・・・,i)の質量吸収係数μと、各元素の質量分率Wとから、以下の式(3)で求められる。
Figure JPOXMLDOC01-appb-M000003
 上記W=n/Σnで求められる値である。Aは各元素iの原子量、nは各元素iの数である。
 上記式(3)における各元素の質量吸収係数μは、Henkeらによってまとめられている以下の参考文献の値を適用できる。(B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-Ray Interactions:Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables 54, 181 (1993) これらの数値の最新版はhttp://www.cxro.lbl.gov/optical_constants/に掲載されている。)
 ペリクル膜を構成する有機化合物薄膜全体の質量吸収係数μ、有機化合物薄膜の密度ρ、及び有機化合物薄膜の厚みdが特定できれば、式(1)及び式(2)に基づき、ペリクル膜を構成する有機化合物薄膜の波長13.5nmの光の透過率を算出できる。なお、上記透過率は、ローレンスバークレー国立研究所のX線光学センターの光学定数ウェブサイトでも計算できる。
 ここで、有機化合物薄膜に含み得る代表的な元素の質量吸収係数μを、水素の質量吸収係数μを1として相対的に表すと、H(水素)=1、C(炭素)=24、N(窒素)=50、O(酸素)=93、F(フッ素)=141、珪素=14となる。
 上記元素質量吸収係数μを鑑みれば、炭化水素(炭素及び水素)のみからなるペリクル膜のEUV光等の露光光の透過率は高くなる。一方で、窒素、酸素、フッ素を多く含む膜は、透過性が低くなる。そこで、ペリクル膜に適用する薄膜の種類と厚みは、上記有機化合物薄膜が含む元素の種類、及び上記記元素質量吸収係数μを勘案し、適宜選択することができる。例えば、ペリクル膜の厚みを厚くする場合には、炭化水素のみからなる有機化合物薄膜を選択すればよい。また窒素、酸素、フッ素を多く含む有機化合物薄膜をペリクル膜とする場合は、厚みを比較的薄くする。このように、ペリクル膜を透過する光の透過率は、ペリクル膜の材質と厚みによって調整されうる。
 また、露光光を波長13.5nm以外の波長の光とする場合にも、上記の方法で有機化合物薄膜の材料、厚さを調整すればよい。波長13.5nm以下の光を露光光とする場合には、波長13.5nmの光の透過率が50%以上であれば、例えばEUVリソグラフィー用ペリクルとして問題なく使用できる。例えば、厚さ100nmのピロメリット酸二無水物(PMDA)と4,4’-ジアミノジフェニルエーテル(ODA)からなるポリイミドフィルムは、波長13.5nmの光の透過率が56%であり、波長6.75nmの光の透過率は88%である。また厚さ100nmの高密度ポリエチレンフィルムは、波長13.5nmの光の透過率が77%であり、波長6.75nmの光の透過率は95%である。一方、波長13.5nmより長い波長の光を露光光とする場合には、露光光の波長を13.5nmとする場合より、ペリクル膜の厚みを薄くする、もしくは透過率の高い材料を選択することが好ましい。
 ただし、ペリクル膜の厚みは、上記透過率と併せて、膜の強度、及び自立性を勘案して設定することが好ましい。ペリクル膜の好ましい厚みは、ペリクル膜を構成する有機化合物薄膜の透過率により適宜選択され、通常、10~300nm程度であり得る。ペリクル膜が自立可能とは、ペリクル枠に貼付した際に、破れや皺や弛みができないことをいう。
 ペリクル膜の厚み均一性や表面粗さは、特に問わない。EUV等の露光光の照射によるパターニング工程において、膜厚みの不均一性や表面粗さに由来した透過率の不均一性やEUV光等の露光光の散乱による支障が生じなければ、膜厚みが不均一であっても、表面粗さがある程度あってもよい。
 有機化合物薄膜が自立膜ではない場合、膜に自立性を持たせるために、ペリクル膜は、有機化合物薄膜と、有機化合物薄膜を支持するための支持部材とを有しうる。支持部材の例には、シリコン、金属等からなるメッシュ状の基板、金属ワイヤ等があげられる。メッシュ状の基板の隙間を有機化合物薄膜で埋めこんで膜状にすることでペリクル膜とすることができる。また、有機化合物薄膜を支持部材に積層してもよい。有機化合物薄膜は、支持部材のEUV入射面、入射面の逆側の面のいずれに積層してもよい。ただし、支持部材を用いると、支持部材の被覆面積分だけ透過率が減少することに加え、支持部材の形状によっては透過率が不均一になることが懸念される。
 そのため、有機化合物薄膜は、自立膜である樹脂薄膜(高分子フィルム)であることが好ましい。樹脂薄膜とは、分子量が10000以上である多数の原子が共有結合で繋がった化合物からなる薄膜をいい、複数のモノマーが重合してできた樹脂を含む。樹脂薄膜を構成する元素は炭素と水素の2種類を含んでおり、炭素と水素以外にも窒素、酸素、硫黄、リン、ハロゲンのうち少なくとも一種類以上の元素を含んでいてもよい。樹脂薄膜は、分子鎖が絡み合いを形成するため、薄膜の強度が高く、破れにくい。膜の強度を高め、ペリクル膜を自立可能にするためには、樹脂薄膜の厚みを、10~300nmとすることが好ましく、10~100nmとすることがより好ましい。
 ペリクル膜を構成する樹脂は、ガラス転移温度または融点が150℃以上であることが好ましく、より好ましくは200℃以上、さらに好ましくは250℃以上である。ペリクル膜を構成する樹脂が、融点及びガラス転移温度の両方を有する場合には、融点が150℃以上であることが好ましい。EUV光等の露光光を照射中、ペリクル膜を構成する樹脂に吸収されたEUV光等の露光光は熱に変換され、一時的に250℃以上の温度に達すると見込まれる(図1参照)。そのため、ペリクル膜を構成する樹脂の融点が150℃を下回ると、EUV照射時に発生した熱で樹脂が流動し、EUV光等の露光光の照射領域に皺が生じたり、穴があいたりする可能性がある。樹脂の融点及びガラス転移温度は、示差走査熱量測定器(Perkin Elmer社製DSC-7型)を用いて、JIS K7121(1987)に準拠した方法で測定されうる。昇温速度は10℃/分としうる。
 図1は、照射強度5W/cmのEUV光を、透過率90%、膜厚み20nm、放射率0.01のペリクル膜に10msec照射したときのペリクル膜の温度と経過時間の関係を示すグラフである。このグラフは、ペリクル膜に吸収されたEUV光が全て熱に変わると仮定して、数値計算によって求めたものである。図1に示されるように、ペリクル膜の温度は、EUV光が照射されている0~10msecの間で450℃まで上昇し;EUV光が照射されなくなると(10msec以降)、ペリクル膜の温度が下がることがわかる。このように、EUV照射中のペリクル膜は高温になると予想されるため、ペリクル膜は高い耐熱性を有することが求められる。
 ペリクル膜を構成する樹脂は、分子内に芳香環やイミド環などの共役構造を含むことがより好ましい。樹脂を構成する分子内に、共役構造を含む化合物は、吸収した電離放射線のエネルギーを分子内で非局在化させる。したがって、このような化合物は、EUV光等の露光光の照射によっても構造変化し難く、露光光の照射による劣化等が少ない。
 ペリクル膜を構成する樹脂中のフッ素元素の量は、少ないことが好ましい。前述のように、フッ素は、高い質量吸収係数を有するため、フッ素元素を多量に含むと、ペリクル膜の透過率が低下する。また、フッ素元素を含む官能基はEUV光等の露光光の照射により構造変化を生じやすく容易に分解する。そのため、樹脂中のフッ素元素の量は少ないことが好ましい。特に、樹脂の骨格となる構造部分にはフッ素元素が少ないことが好ましい。樹脂の骨格となる構造部分とは、例えば鎖状ポリマーの場合は主鎖部分を指す。この部分にフッ素元素が存在すると、EUV光等の露光光の照射による骨格構造変化が生じやすい傾向にある。また、分解物がアウトガスとして放出されるとともに膜強度が低下するおそれがある。一方、枝分かれ構造部分に存在するフッ素元素はEUV光等の露光光の照射によって分解し、アウトガスを放出するが、樹脂の骨格が構造変化するおそれは少ない。
 これらの特性を満たす、ペリクル膜を構成する樹脂の好ましい例には、芳香族ポリイミド、脂肪族ポリイミド、架橋ポリエチレン、架橋ポリスチレン、ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、ポリエーテルサルフォン、ポリエーテルエーテルケトン、液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、パリレン、及びヘテロ芳香環を含む高分子化合物が含まれる。ヘテロ芳香環を含む高分子化合物の例には、芳香族ポリベンザゾールや、トリアジン構造を有する高分子化合物などが含まれる。ペリクル膜には、1種の樹脂のみが含まれてもよく、2種以上の樹脂が含まれてもよい。さらに、これらの樹脂の共重合体であってもよい。
 露光光の照射による構造変化が生じにくく、かつ耐熱性が高い観点では、芳香族ポリイミド、(芳香環を含む)脂肪族ポリイミド、架橋ポリスチレン、芳香族ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、芳香族ポリエーテルサルフォン、芳香族ポリエーテルエーテルケトン、芳香族系液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、芳香族ポリベンザゾール、及びパリレンなどの芳香環を有する樹脂が好ましい。
 芳香族ポリベンザゾールとは、下記一般式(A)で表される繰り返し単位を有する樹脂である。
Figure JPOXMLDOC01-appb-C000002
 上記一般式(A)中、Xはそれぞれ独立に、S原子、O原子またはNH基を示す。XがS原子のものをベンゾチアゾール、XがO原子のものをベンゾオキサゾール、XがNH基のものをベンゾイミダゾールという。アゾール環において、N原子及びX原子の位置関係は、トランスであってもシスであってもよい。上記一般式(A)におけるRはベンゼン、ナフタレン、アントラセン、またはビフェニルである。また、上記一般式(A)におけるR’は、下記の化学式で表されるいずれかの基である。芳香族ポリベンザゾール樹脂中に含まれる、一般式(A)で表される繰り返し単位は、全て同一であってもよく、異なっていてもよい。
Figure JPOXMLDOC01-appb-C000003
 これらの中でも、良好な透過率と耐熱性を両立しやすいことなどから、芳香族ポリイミドまたは脂肪族ポリイミドが好ましい。
 芳香族ポリイミドは、一般式(1)で表される繰り返し単位を有する。
Figure JPOXMLDOC01-appb-C000004
 一般式(1)におけるAは、下記一般式で表される2価の基から選ばれる。
Figure JPOXMLDOC01-appb-C000005
 上記一般式におけるZ~Z10はそれぞれベンゼン、ナフタレン、アントラセン、フェナントレンである。X~Xはそれぞれ、単結合、-O-、-S-、-CO-、-COO-、-C(CH-、-C(CF-、-SO-または-NHCO-である。複数のAに含まれるZ~Z10およびX~Xは、それぞれ同一であっても異なっていてもよい。
 一般式(1)におけるAは、芳香族ジアミンから誘導される2価の基でありうる。芳香族ジアミンの例には、m-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、1,4-ジアミノナフタレン、1,5-ジアミノナフタレン、1,8-ジアミノナフタレン、2,6-ジアミノナフタレン、2,7-ジアミノナフタレン、2,6-ジアミノアントラセン、2,7-ジアミノアントラセン、1,8-ジアミノアントラセン、3,3'-ジアミノジフェニルエーテル、3,4'-ジアミノジフェニルエーテル、4,4'-ジアミノジフェニルエーテル、3,3'-ジアミノジフェニルスルフィド、3,4'-ジアミノジフェニルスルフィド、4,4'-ジアミノジフェニルスルフィド、3,3'-ジアミノジフェニルスルホン、3,4'-ジアミノジフェニルスルホン、4,4'-ジアミノジフェニルスルホン、3,3'-ジアミノベンゾフェノン、3,3'-ジアミノジフェニルメタン、3,4'-ジアミノジフェニルメタン、4,4'-ジアミノジフェニルメタン、2,2-ビス(3-アミノフェニル)プロパン、2,2-ビス(4-アミノフェニル)プロパン、2,2-ビス(3-アミノフェニル)-1,1,1,3,3,3-ヘキサフルオロプロパン、2,2-ビス(4-アミノフェニル)-1,1,1,3,3,3-ヘキサフルオロプロパン、3,3'-ジアミノジフェニルスルホキシド、3,4'-ジアミノジフェニルスルホキシド、4,4'-ジアミノジフェニルスルホキシド、1,3-ビス(3-アミノフェニル)ベンゼン、1,3-ビス(4-アミノフェニル)ベンゼン、1,4-ビス(3-アミノフェニル)ベンゼン、1,4-ビス(4-アミノフェニル)ベンゼン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、1,4-ビス(3-アミノフェノキシ)ベンゼン、1,4-ビス(4-アミノフェノキシ)ベンゼン、1,3-ビス(3-アミノフェニルスルフィド)ベンゼン、1,3-ビス(4-アミノフェニルスルフィド)ベンゼン、1,4-ビス(4-アミノフェニルスルフィド)ベンゼン、1,3-ビス(3-アミノフェニルスルホン)ベンゼン、1,3-ビス(4-アミノフェニルスルホン)ベンゼン、1,4-ビス(4-アミノフェニルスルホン)ベンゼン、1,3-ビス(3-アミノベンジル)ベンゼン、1,3-ビス(4-アミノベンジル)ベンゼン、1,4-ビス(4-アミノベンジル)ベンゼン、1,3-ビス(3-アミノ-4-フェノキシベンゾイル)ベンゼン、3,3'-ビス(3-アミノフェノキシ)ビフェニル、3,3'-ビス(4-アミノフェノキシ)ビフェニル、4,4'-ビス(3-アミノフェノキシ)ビフェニル、4,4'-ビス(4-アミノフェノキシ)ビフェニル、ビス〔3-(3-アミノフェノキシ)フェニル〕エーテル、ビス〔3-(4-アミノフェノキシ)フェニル〕エーテル、ビス〔4-(3-アミノフェノキシ)フェニル〕エーテル、ビス〔4-(4-アミノフェノキシ)フェニル〕エーテル、ビス〔3-(3-アミノフェノキシ)フェニル〕ケトン、ビス〔3-(4-アミノフェノキシ)フェニル〕ケトン、ビス〔4-(3-アミノフェノキシ)フェニル〕ケトン、ビス〔4-(4-アミノフェノキシ)フェニル〕ケトン、ビス〔3-(3-アミノフェノキシ)フェニル〕スルフィド、ビス〔3-(4-アミノフェノキシ)フェニル〕スルフィド、ビス〔4-(3-アミノフェノキシ)フェニル〕スルフィド、ビス〔4-(4-アミノフェノキシ)フェニル〕スルフィド、ビス〔3-(3-アミノフェノキシ)フェニル〕スルホン、ビス〔3-(4-アミノフェノキシ)フェニル〕スルホン、ビス〔4-(3-アミノフェノキシ)フェニル〕スルホン、ビス〔4-(4-アミノフェノキシ)フェニル〕スルホン、ビス〔3-(3-アミノフェノキシ)フェニル〕メタン、ビス〔3-(4-アミノフェノキシ)フェニル〕メタン、ビス〔4-(3-アミノフェノキシ)フェニル〕メタン、ビス〔4-(4-アミノフェノキシ)フェニル〕メタン、2,2-ビス〔3-(3-アミノフェノキシ)フェニル〕プロパン、2,2-ビス〔3-(4-アミノフェノキシ)フェニル〕プロパン、2,2-ビス〔4-(3-アミノフェノキシ)フェニル〕プロパン、2,2-ビス〔4-(4-アミノフェノキシ)フェニル〕プロパン、2,2-ビス〔3-(3-アミノフェノキシ)フェニル〕-1,1,1,3,3,3-ヘキサフルオロプロパン、2,2-ビス〔3-(4-アミノフェノキシ)フェニル〕-1,1,1,3,3,3-ヘキサフルオロプロパン、2,2-ビス〔4-(3-アミノフェノキシ)フェニル〕-1,1,1,3,3,3-ヘキサフルオロプロパン、2,2-ビス〔4-(4-アミノフェノキシ)フェニル〕-1,1,1,3,3,3-ヘキサフルオロプロパンなどが含まれる。これらは、単独で含まれてもよく、複数種類が含まれてもよい。中でも、m-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3'-ジアミノジフェニルエーテル、3,4'-ジアミノジフェニルエーテル、4,4'-ジアミノジフェニルエーテル、3,3'-ジアミノジフェニルスルホン、4,4'-ジアミノジフェニルスルホン、3,3'-ジアミノベンゾフェノン、3,3'-ジアミノジフェニルメタン、4,4'-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、4,4'-ビス(3-アミノフェノキシ)ビフェニルがより好ましく、m-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3'-ジアミノジフェニルエーテル、3,4'-ジアミノジフェニルエーテル、4,4'-ジアミノジフェニルエーテル、4,4'-ビス(3-アミノフェノキシ)ビフェニルがさらに好ましい。
 上記一般式(1)におけるBは、下記式で表される4価の基から選ばれる。
Figure JPOXMLDOC01-appb-C000006
 上記式におけるW~W10はベンゼン、ナフタレン、アントラセン、フェナントレンまたはペリレンである。また、Y~Yはそれぞれ、単結合、-O-、-S-、-CO-、-COO-、-C(CH-、-C(CF-、-SO-または-NHCO-である複数のBに含まれるW~W10、およびY~Yは、それぞれ同一であっても異なっていてもよい。
 一般式(1)におけるBは、テトラカルボン酸二無水物から誘導される4価の基、好ましくは芳香族テトラカルボン酸二無水物から誘導される4価の基でありうる。芳香族テトラカルボン酸二無水物の例には、ピロメリット酸二無水物、3,3',4,4'-ビフェニルテトラカルボン酸二無水物、2,3,3',4-ビフェニル-テトラカルボン酸二無水物、3,3',4,4'-ベンゾフェノンテトラカルボン酸二無水物、3,3',4,4'-ジフェニルスルホンテトラカルボン酸二無水物、4,4'-オキシジフタル酸二無水物、1,2,4,5-ナフタレンテトラカルボン酸二無水物、1,2,5,6-ナフタレンテトラカルボン酸二無水物、1,2,6,7-ナフタレンテトラカルボン酸二無水物、1,4,5,8-ナフタレンテトラカルボン酸二無水物、2,3,6,7-ナフタレンテトラカルボン酸二無水物、2,3,6,7-アントラセンテトラカルボン酸二無水物、1,2,5,6-アントラセンテトラカルボン酸二無水物、1,2,6,7-フェナントレンテトラカルボン酸二無水物、1,2,7,8-フェナントレンテトラカルボン酸二無水物、1,2,9,10-フェナントレンテトラカルボン酸二無水物、3,4,9,10-ペリレンテトラカルボン酸二無水物、ビス(3,4-ジカルボキシフェニル)エーテル二無水物、ビス(3,4-ジカルボキシフェニル)スルフィド二無水物、ビス(3,4-ジカルボキシフェニル)スルホン二無水物、ビス(3,4-ジカルボキシフェニル)メタン二無水物、2,2-ビス(3,4-ジカルボキシフェニル)プロパン二無水物、2,2-ビス(3,4-ジカルボキシフェニル)-1,1,1,3,3,3-ヘキサフルオロプロパン二無水物、1,3-ビス(3,4-ジカルボキシフェノキシ)ベンゼン二無水物、1,4-ビス(3,4-ジカルボキシフェノキシ)ベンゼン二無水物、1,4-ビス(3,4-ジカルボキシフェノキシ)ビフェニル二無水物、2,2-ビス〔(3,4-ジカルボキシフェノキシ)フェニル〕プロパン二無水物などが含まれる。中でも、ピロメリット酸二無水物、3,3',4,4'-ビフェニルテトラカルボン酸二無水物、2,3,3',4-ビフェニル-テトラカルボン酸二無水物、3,3',4,4'-ベンゾフェノンテトラカルボン酸二無水物、4,4'-オキシジフタル酸二無水物、3,3',4,4'-ジフェニルスルホンテトラカルボン酸二無水物がより好ましく、ピロメリット酸二無水物、3,3',4,4'-ビフェニルテトラカルボン酸二無水物がさらに好ましい。
 芳香族ポリイミドは、所望の物理的性質等を損なわない範囲で、前記芳香族ジアミンから誘導される基以外の他のジアミン(他の芳香族ジアミンや脂肪族ジアミンなど)から誘導される2価の基、及びテトラカルボン酸二無水物から誘導される基以外の他のテトラカルボン酸二無水物から誘導される4価の基を一種以上含んでいてもよい。芳香族ポリイミドにおける、他のジアミンから誘導される2価の基の含有割合は、ジアミンから誘導される2価の基全体に対して40質量%以下、好ましくは20質量%以下、さらに好ましくは0質量%としうる。同様に、他のテトラカルボン酸二無水物から誘導される4価の基の含有割合は、テトラカルボン酸二無水物から誘導される4価の基全体に対して40質量%以下、好ましくは20質量%以下、さらに好ましくは0質量%としうる。
 脂肪族ポリイミドは、脂肪族ジアミンと、テトラカルボン酸無水物とを反応させて得られる繰り返し構造単位を含む樹脂でありうる。脂肪族ジアミンには、脂環式ジアミンも含まれる。
 脂肪族ジアミンの例には、エチレンジアミン、1,3-ジアミノプロパン、1,4-ジアミノブタン、1,5-ジアミノペンタン、1,6-ジアミノヘキサン、1,7-ジアミノヘプタン、1,8-ジアミノオクタン、1,9-ジアミノノナン、1,10-ジアミノデカン、1,11-ジアミノウンデカン、1,12-ジアミノドデカンなどのアルキレンジアミン類;
 ビス(アミノメチル)エーテル、ビス(2-アミノエチル)エーテル、ビス(3-アミノプロピル)エーテル、ビス[(2-アミノメトキシ)エチル]エーテル、ビス[2-(2-アミノエトキシ)エチル]エーテル、ビス[2-(3-アミノプロトキシ)エチル]エーテル、1,2-ビス(アミノメトキシ)エタン、1,2-ビス(2-アミノエトキシ)エタン、1,2-ビス[2-(アミノメトキシ)エトキシ]エタン、1,2-ビス[2-(2-アミノエトキシ)エトキシ]エタン、エチレングリコールビス(3-アミノプロピル)エーテル、ジエチレングリコールビス(3-アミノプロピル)エーテルなどのエチレングリコールジアミン類;
 シクロヘキサンジアミン、2,5-ジアミノメチル-ビシクロ[2.2.1]ヘプタンおよび2,6-ジアミノメチル-ビシクロ[2.2.1]ヘプタンなどの脂環式ジアミン類等が含まれる。なかでも、ポリイミドを含む樹脂薄膜の光の透過率を高める観点からは、脂環式ジアミンが好ましい。
 テトラカルボン酸二無水物は、前述の芳香族ポリイミドを得るためのテトラカルボン酸二無水物と同様であり、耐熱性を確保する観点などから、好ましくは芳香族テトラカルボン酸二無水物でありうる。
 脂肪族ポリイミドは、脂肪族ジアミンから誘導される基以外の他のジアミン(例えば前述の芳香族ジアミンなど)から誘導される2価の基をさらに含みうる。脂肪族ポリイミドにおける、他のジアミンから誘導される2価の基の含有割合は、ジアミンから誘導される2価の基全体に対して40質量%以下、好ましくは20質量%以下、さらに好ましくは0質量%としうる。
 また、芳香族ポリイミドや脂肪族ポリイミド等を始めとするペリクル膜を構成する樹脂は、EUV光等の露光光の照射によって構造変化が生じないことが好ましい。具体的には、これらの樹脂は、3級炭素を含まない鎖状ポリマー、3級炭素を含まない鎖状ポリマーの架橋物、または3級炭素全てに芳香環が直接結合しているポリマーのいずれか一種であることが好ましい。「3級炭素を含まない鎖状ポリマーの架橋物」とは、「3級炭素を含まない鎖状ポリマー」が架橋した架橋物を意味する。ペリクル膜を構成する樹脂にEUV光等の露光光が照射されると、樹脂中の炭素、酸素または窒素の内殻の電子とEUV光が相互作用し、それらの原子核は二次電子を放出してイオン化したり、ラジカル種や電子励起種が生成したりする。ここで鎖状ポリマーの3級炭素を含むC-C結合は、イオン種やラジカル種、励起種によって架橋反応や分解反応を生じやすく、分子構造が変化しやすい。一方で、3級炭素を含まない鎖状ポリマーが架橋して生じた3級炭素や、芳香環に直接結合した3級炭素を含むC-C結合は、鎖状ポリマーの3級炭素を含むC-C結合に比べて架橋反応や分解反応を生じにくい。したがって、これらは3級炭素を含んでいてもよい。
 芳香族ポリイミドは高い耐熱性を有するため、高温になっても流動を生じにくい。また、芳香族ポリイミドは3級炭素を持たず、イミド環や芳香環などの共役構造を分子鎖内に有するため、吸収されたEUV光等の露光光のエネルギーを非局在化させることで構造変化を抑制することができる。さらに、芳香族ポリイミドは、超高真空中のような不活性雰囲気下においては、熱分解温度を超える高温下であっても、架橋反応を生じやすい。具体的には、熱分解温度を超える高温下であっても、芳香族ポリイミド自体が分解してポリイミド骨格からラジカルを発生し、当該ラジカルを有するポリイミドが架橋反応を生じやすい。そのため、架橋反応の生成物(残渣)が膜として残ることができるので、透過率の変動を抑制することができる。従って、ポリイミドの中でも、芳香族ポリイミドが特に好ましい。
 樹脂薄膜中の上記樹脂の含有割合は、好ましくは70質量%以上、より好ましくは80質量%以上、さらに好ましくは90質量%以上、特に好ましくは100質量%としうる。
 樹脂薄膜は、酸化や紫外光およびEUV光等の露光光に対する耐久性を高める方法として、添加剤をさらに含んでいてもよい。ここで添加剤とは、酸化防止剤、熱安定剤、光安定剤などをいう。膜に吸収された紫外線やEUV光によって、樹脂の分子鎖に発生したラジカル種を添加剤が捕捉することによって、紫外光およびEUV光に対して化学的な安定性を高めることができる。また、膜に吸収されたEUV光が熱に変わり、熱によって樹脂の分子鎖にラジカル種が生成しても、これらの添加剤がラジカルを捕捉することによって分子構造の変化を抑制し、EUV耐性を高めることができる。
 添加剤の例には、グラフェンやグラファイトなどの炭素材料や、金属ナノ粒子などが含まれる。グラファイトやグラフェンなどの炭素材料は、分子内に共役系を有しており、ポリイミドに吸収されたEUV光のエネルギーをグラファイトやグラフェンへ移動させることによって、ポリイミド分子鎖の反応を抑制することができる。また、グラフェンやグラファイトなどの炭素材料や、金属ナノ粒子などの添加剤は、樹脂薄膜の強度を高めることができる。そのため、ポリイミド樹脂を変性させた場合でも膜の強度低下を抑制することができる。
 樹脂薄膜の製造方法
 樹脂薄膜の製造方法は、特に制限されないが、溶媒への可溶性や、ガラス転移温度、融点、延伸特性などの性質に応じて、適切な製膜方法を選ぶことができる。例えば、溶媒に可溶な樹脂を用いる場合は、スピンコート法や溶液流延法を採用することが好ましい。一方、溶媒に不溶であり熱により流動軟化する樹脂を用いる場合には、1軸延伸や2軸延伸、溶融押出成型法などの方法を採用することが好ましい。
 樹脂薄膜の製造方法は、樹脂の配向や熱収縮に起因した残留応力が少ない手法を選定することが望ましい。樹脂薄膜内部に残留応力が少なければ、EUV光等の露光光の照射中に高温になった樹脂薄膜の残留応力はわずかしか開放されず、樹脂薄膜はほとんど変形・流動せずに薄膜の形状を維持することが可能性となる。
 残留応力が少ない樹脂薄膜の製造方法は、スピンコート法や溶液流延法が望ましい。スピンコート法や溶液流延法では、樹脂溶液を基板上に塗布するため、塗布時に加えられた外力はすぐに緩和され、分子鎖の配向はほとんど生じず、得られる樹脂薄膜は残留応力が低くなりやすい。一方、1軸延伸や2軸延伸、溶融押出成型法では、樹脂に外力を加えて樹脂を変形させて樹脂薄膜を得るため、樹脂の分子鎖が配向しやすく、得られる樹脂薄膜は高い残留応力を有しやすい。
 例えば、ポリイミドからなる樹脂薄膜は、ポリイミド前駆体溶液をスピンコート法などで基材(ウエハ等)上に塗布した後、乾燥およびイミド化させて薄膜を得た後;該薄膜を基材から剥離して得ることができる。ポリイミド前駆体溶液は、前述のジアミン成分とテトラカルボン酸二無水物成分とを、N,N-ジメチルアセトアミドやN-メチル-2-ピロリドンなどの非プロトン性溶媒存在下で反応させて得ることができる。スピンコート法などで薄くて均一な厚みに塗布しやすくする観点から、当該ポリイミド前駆体溶液の固形分濃度は、0.1~10質量%程度、好ましくは0.5~5質量%程度としうる。
 スピンコートや溶液流延法により、基板上に塗布された樹脂薄膜は、基板から剥離することで樹脂薄膜の自立膜を得ることができる。基板から樹脂薄膜を剥離する方法は、破れ、皺、膜厚みの不均一性がなく、EUVリソグラフィーに支障の無い膜が得られれば、特に制限されないが、例えば基板上に犠牲層を積層した後に除去する方法;基板に表面処理を施す方法;樹脂薄膜に離型剤を添加する方法;基板をエッチングまたは溶解させる方法などが挙げられる。
 1)基板上に犠牲層を積層し後に除去する方法
 基板上に犠牲層を積層し、その上にペリクル膜を製膜して、後で犠牲層を除去することで自立膜を得ることができる。犠牲層は、金属、酸化膜、樹脂、塩などであってよく;特定の処理方法で除去できる材質とすることができる。例えば、犠牲層は、酸性溶液に溶けるアルミニウムなどの金属でありうる。具体的には、蒸着やスパッタなどでガラス基板やシリコンウェハの表面に金属層を積層し、さらに金属層の上にペリクル膜を積層した後に、酸性溶液など金属層を溶かすことができる溶液に浸漬することによって、基板からペリクル膜を剥離することができる。
 基板として自然酸化膜を有するシリコンウェハを用いた場合には、シリコンウェハ上にペリクル膜をコーティングした後に、フッ酸水溶液に浸漬することによって自然酸化膜を除去し、基板からペリクル膜を剥離することもできる。
 基板に積層する犠牲層を、部分けん化ポリビニルアルコール樹脂や塩化ナトリウムなどの塩のような水溶性材料としてもよい。犠牲層の上にペリクル膜を積層した後に、積層体を水に浸漬することによって、基板からペリクル膜を剥離することができる。
 基板上に積層した犠牲層を除去する方法を選定する上で、ペリクル膜のプロセス耐性、膜強度、犠牲層の除去速度、犠牲層の膜厚み均一性や表面粗さなどの特徴に応じて、もっとも適切な任意の手法を選定することができる。
 2)基板をエッチングまたは溶解させる方法
 基板の材質を、特定の処理方法で除去できる材質(例えば金属、酸化膜、樹脂、塩など)とした場合には、基板の上にペリクル膜を積層した後に、基板をエッチングまたは溶解させることで、ペリクル膜を得ることができる。
 例えば、基板として銅箔を用いた場合、銅箔表面にペリクル膜を積層した後に、塩化第二銅エッチング液に浸漬することで、銅箔基板をエッチングし、ペリクル膜を基板から剥離することができる。
 基板をガラス基板とした場合、ガラス基板にペリクル膜を積層した後に、フッ化水素酸を用いてガラスをエッチングし、ガラス基板からペリクル膜を剥離することができる。
 基板をシリコンウェハとした場合、シリコンウェハにペリクル膜を積層した後に、ウェットエッチングまたはドライエッチングにより、シリコンウェハをエッチングして、シリコンウェハからペリクル膜を剥離することができる。ウェットエッチングは、KOHやTMAH、ヒドラジンなどのエッチング液を用いることができる。ドライエッチングは、フッ素系(SF、CF、NF、PF、BF、CHF)、塩素系(Cl、SiCl)、臭素系(IBr)などのエッチングガスを用いることができる。ウェットエッチング速度は、温度によって変化するため、シリコンウェハ上の薄いペリクル膜に損傷を与えないようにエッチングするためには、液温を下げエッチングレートを下げることが好ましい。
 基板を塩化ナトリウムなどの塩からなる基板とした場合、基板表面にペリクル膜を積層した後に、水に浸漬して基板をエッチングし、基板からペリクル膜を剥離することができる。基板をプラスチック基板とした場合、プラスチック基板表面にペリクル膜を積層した後に、プラスチック基板を可溶な溶媒に浸漬することでプラスチック基板をエッチングし、プラスチック基板からペリクル膜を剥離することができる。
 3)離型剤を用いる方法
 基板と樹脂薄膜との界面に、ごく薄い離型剤の層を導入することによって、基板と樹脂薄膜の界面の剥離性を高めることができる。離型剤は、基板に塗布または添加してもよいし;樹脂溶液に添加してもよい。離型剤の基板または樹脂溶液中の濃度は、離型剤がブリードアウトや相分離を起こして樹脂薄膜のヘイズや汚染を生じさせない程度に調整することが望ましい。樹脂薄膜を剥離する方法は、特に制限されず、機械的に剥離する方法や、液体表面に浮かせて剥離する方法など任意の方法を選択することができる。
 4)基板の表面上を剥離しやすいように前処理を施す方法
 基板に表面処理を施すことで、ペリクル膜と基板面との相互作用を制御し、溶媒への浸漬や機械的な剥離プロセスにより、基板からペリクル膜を容易に剥離することができる。ペリクル膜と基板面との相互作用を制御する方法として、例えばシランカップリング剤による表面処理方法が挙げられる。そのほかには、水や有機溶媒、ピラニア水、硫酸、UVオゾン処理、などにより基板表面を洗浄する方法が挙げられる。基板をシリコンウェハとする場合には、過酸化水素水と水酸化アンモニウムの混合液や、塩酸と過酸化水素水の混合液など、RCA洗浄法で用いられる溶液などを使用することができる。
 犠牲層の製膜、基板上の表面処理は、基板をエッチングまたは溶解させる方法を、それぞれ組み合わせて用いてもよい。犠牲層や表面処理に用いられる物質は、ペリクル膜の表面や内部に残りにくく、また残っても容易な方法で除去できるものが望ましい。例えば、ガスによるエッチング、熱による蒸発、溶媒による洗浄、光による分解除去などがあり、それらを組み合わせて除去を実施してもよい。
 樹脂薄膜の物性
 (透過率)
 樹脂薄膜の波長13.5nmの光の透過率は、前述の通り、50%以上、好ましくは80%以上、より好ましくは90%以上でありうる。樹脂薄膜の透過率を高めるためには、例えば樹脂薄膜の厚みを100nm以下(好ましくは80nm以下)と小さくしたり;脂環式ジアミンから誘導される基を含むポリイミドを選択したりすることが好ましい。
 また、波長13.5nmの光を90mW/cmで10分間、好ましくは80分間照射後の樹脂薄膜の波長13.5nmの光の透過率の変化率(=|照射前の透過率-照射後の透過率|/照射前の透過率×100(%))は、好ましくは10%以下、より好ましくは5%以下でありうる。樹脂薄膜の光の透過率の変化率を小さくするためには、樹脂薄膜を構成する樹脂を、耐熱性が高く、芳香環やイミド環などの共役構造を有し、かつ3級炭素を含まない樹脂(例えば芳香族ポリイミド)とすることが好ましい。
 (水分・残留溶媒量)
 樹脂薄膜に含まれる水分は、できる限り低減されていることが望ましい。水分子に対してEUV光等の露光光が照射されると、水分子を構成する酸素原子や水素原子がイオン化したりラジカルを生じたりする。そのため、樹脂薄膜内部に吸着水が数多く存在すると、それらの水分子がEUV光等の露光光を吸収した結果、樹脂薄膜の劣化を促進させる要因となる。また、樹脂薄膜内の水分子が超高真空中でアウトガスとなって放出されると、その水分子がEUVを吸収し、イオンやラジカル種となって露光装置内の部材を劣化させる要因となる。
 樹脂薄膜に含まれる水分を低減する方法としては、水蒸気を含まない乾燥雰囲気下に樹脂薄膜を曝す方法や;樹脂薄膜をベーク処理して乾燥させる方法などが挙げられる。
 樹脂薄膜は、水分だけでなく、残留溶媒も同様に低減されていることが好ましい。樹脂薄膜に含まれる残留溶媒を低減する方法は、前述と同様でありうる。
 (膜強度)
 基板上の樹脂薄膜の強度の評価方法としては、ナノインデンターによる評価方法が挙げられる。自立膜である樹脂薄膜の膜強度の評価方法としては、共鳴法やバルジ試験法、エアブローによる膜の破れの有無の評価法、振動試験による膜の破れの有無の評価法等が挙げられる。
 2.ペリクル
 本発明のペリクル膜を、従来のペリクル膜と同様にペリクル枠に貼付して、ペリクル(以下、単に「ペリクル」ともいう)とする。図2は、ペリクルを模式的に示す図である。図2に、本発明のペリクルの一例を示す。ペリクル10は、ペリクル膜12と、ペリクル膜12の外周を支持するペリクル枠14とを含む。ペリクル膜12は、前述のペリクル膜である。従って、本発明のペリクルは、特にEUVリソグラフィー用ペリクルとして好適である。
 ペリクル膜12は、ペリクル枠14に直接貼り付けられていてもよいし;ペリクル枠14の一方の端面にある膜接着剤層13を介して貼り付けられていてもよいし;機械的に固定または磁石などの引力を利用して固定されていてもよい。図2では、ペリクル膜12が、膜接着剤層13を介して貼り付けられた例を示す。
 一方、ペリクル枠14を原版(不図示)に接着するため、ペリクル枠14のもう一方の端面には、原版用接着剤層15を設けている。なお、図2に示すペリクル10は、原版用接着剤層15を介して原版に設置される。ただし、原版表面に異物が付着しないように、ペリクル10を配置可能であれば、ペリクル10の配置方法は特に制限されず、ペリクル10に原版用接着剤層15が含まれなくてもよい。
 ペリクル膜12を構成する樹脂薄膜に自立性がない場合には、樹脂薄膜を支持するための支持部材(図示せず)を樹脂薄膜と積層してもよい。支持部材は、樹脂薄膜の原版側に配設してもよく、EUVなどの露光光の入射面側に配設してもよい。支持部材の例には、シリコン、金属等からなるメッシュ状の基板、金属ワイヤ等が含まれる。ただし、樹脂薄膜が自立性を有する場合には、EUV光等の露光光の照射面内の露光光の透過率を均一にする観点から、支持部材を配設しないことが好ましい。
 また、ペリクル膜12表面に、EUV光等の露光光の透過を阻害しない範囲で、酸化防止膜を形成してもよい。酸化防止膜は、SiOx(x≦2)、SixNy(x/yは0.7~1.5)、SiON、SiC、Y、YN、Mo、RuまたはRhからなる膜等でありうる。
 また、ペリクル膜12表面に、EUV光等の露光光の透過を阻害しない範囲で、放熱膜を形成してもよい。放熱膜は、熱輻射率が高い材料や熱伝導性が高い材料からなる膜であることが好ましい。具体的には、酸化防止膜と同様の材料からなる膜や、Rb、Sr、Y、Zr、Nb、グラファイト、グラフェンからなる膜等であり得る。酸化防止膜及び放熱膜は、ペリクル膜の一方の面に形成してもよく、両面に形成してもよい。
 酸化防止膜や放熱膜を積層すると、新たに生成した層界面;即ち、酸化防止膜または放熱膜と空気との界面、および酸化防止膜または放熱膜とペリクル膜との界面で、EUV光等の露光光の反射が生じるため、透過率の低下が生じやすい。これらの層界面でのEUV光等の露光光の反射率は、ペリクル膜と酸化防止膜または放熱膜の厚み、およびペリクル膜と酸化防止膜または放熱膜を構成する元素の種類が分かれば、計算により算出することができる。そして、一般的に使用される反射防止膜の原理と同様に、酸化防止膜や放熱膜の膜厚みを最適化することによって、反射率を低下させることができる。
 酸化防止膜や放熱膜の厚みは、吸収によるEUV光等の露光光の透過率低下と、反射によるEUV光等の露光光の透過率低下を抑制し、かつ酸化防止の性能が得られる範囲で最適な厚みとすることが望ましい。例えば、EUV光等の露光光の透過を阻害しないためには、酸化防止膜の厚みは1~10nm程度が望ましく、2~5nm程度がさらに望ましい。酸化防止膜の厚みが厚くなると、酸化防止膜にEUV光等の露光光が吸収されて、透過率が低下する場合があるため、望ましくない。
 酸化防止膜や放熱膜の厚みの均一性や表面粗さも、特に制限されない。EUV光等の露光光の照射によるパターニング工程の際に、酸化防止膜や放熱膜の膜厚の不均一性や表面粗さに由来する透過率のばらつきやEUV光の散乱などに支障がなければ、酸化防止膜や放熱膜は連続層であっても不連続層であってもよく、それらの膜厚が不均一であっても表面粗さがあってもよい。
 酸化防止膜や放熱膜は、スパッタや蒸着などの方法や;溶液を塗布・乾燥する方法など任意の方法で形成されうる。酸化防止膜や放熱膜をスパッタや蒸着などで形成する場合、ガス圧、製膜時間、基板温度などの製膜条件は、特に制限されない。
 片面に酸化防止膜や放熱膜が積層されたペリクル膜は、例えばスピンコート法などでシリコンウェハ上にペリクル膜を製膜し;該ペリクル膜上にスパッタリングなどの方法により酸化防止膜や放熱膜を積層した後;該積層物を水へ浸漬してシリコンウェハを剥離除去したり、シリコンウェハをエッチング除去したりする方法によって得ることができる。
 両面に酸化防止膜や放熱膜が積層されたペリクル膜は、例えば片面に酸化防止膜や放熱膜が積層されたペリクル膜の反対側の面に、スパッタリングなどの手法により酸化防止膜や放熱膜を積層する方法や;シリコンウェハなどの基板上にスピンコート法などで可溶性の犠牲層を製膜し、該犠牲層上にスパッタリングなどの方法で酸化防止膜や放熱膜をさらに積層し、該酸化防止膜や放熱膜上にスピンコート法などでペリクル膜をさらに積層し、該ペリクル膜上に再度スパッタリング法などで酸化防止膜や放熱膜をさらに積層した後、得られた積層物を水に浸漬してシリコンウェハを剥離除去したり、シリコンウェハをエッチング除去したりする方法などによって得ることができる。
 ペリクル膜12のEUV耐性は、ペリクル膜にEUVを照射したときの、照射部分と未照射部分について、各種の分析を行うことで確認することができる。例えば、XPS測定、EDS分析、RBSなどの組成分析の手法、XPS,EELS,IR測定やラマン分光などの構造解析の手法、エリプソメトリーや干渉分光法、X線反射法等などの膜厚み評価法、顕微鏡観察、SEM観察やAFM観察などの外観や表面形状評価方法などを用いることができる。放熱性については、コンピューターシミュレーションによる解析結果を組み合わせることで、より詳細な検討が可能である。
 また、EUV光に限らず評価項目に応じて、真空紫外線照射、赤外線照射、電子線照射、プラズマ照射、加熱処理などの方法を適宜選択し、ペリクル膜の耐性評価を実施してもよい。
 ペリクル枠14は、従来と同様のペリクル枠を採用することができ、アルミニウム、ステンレス、銅、ポリエチレン、セラミックス製の枠でありうる。ペリクル枠14には、ペリクル10及び原版(不図示)に囲まれた領域と、露光装置内との気圧を一定とするための通気孔16を設けることが好ましい。EUV光等による露光は、真空環境下で行われるため、これらの気圧が不均一であると、ペリクル膜12が、圧力差によって伸縮したり、破損したりするおそれがある。通気孔16には、ペリクル及び原版に囲まれた領域に異物が入らないよう、フィルターを配設することが好ましい。フィルターは、ULPAフィルターや、金属メッシュでありうる。
 膜接着剤層13は、ペリクル枠14とペリクル膜12とを接着する。膜接着剤層13は、例えばアクリル樹脂接着剤、エポキシ樹脂接着剤、シリコーン樹脂接着剤、ポリイミド樹脂接着剤、含フッ素シリコーン接着剤等のフッ素ポリマー等である。
 膜接着剤層13は、ペリクル膜12とペリクル枠14の少なくとも一方が接着性を有する場合は用いなくてもよい。ペリクル膜12とペリクル枠14の接着性の評価方法の例には、圧力、面積、距離、角度を変えてエアブローにより膜の破れや剥離の有無を評価する手法や、加速度、振幅を変えて振動試験により膜の破れや剥離の有無を評価する手法などが含まれる。
 原版用接着剤層15は、ペリクル枠14と原版(不図示)とを接着する。原版用接着剤層15は、例えば、両面粘着テープ、シリコーン樹脂粘着剤、アクリル系粘着剤等である。EUV露光時の真空度を保持する観点から、膜接着剤層13及び原版用接着剤層15は、アウトガスが少ないものが好ましい。アウトガスの評価は、例えば昇温脱離ガス分析装置を用いて行うことができる。
 膜接着剤層13および原版用接着剤層15は、露光装置内で散乱したEUV光等の露光光に曝されるため、EUV光等に対する耐性を有することが望ましい。EUV光等に対する耐性が低いと、EUV光等の露光中に接着剤の接着性や強度の低下が生じ、露光装置内部で接着剤の剥離や異物発生などの不具合が生じやすい。
 EUV光等の照射による耐性評価は、例えば、XPS測定、EDS分析、RBSなどの組成分析の手法;XPS,EELS,IR測定やラマン分光などの構造解析の手法;エリプソメトリーや干渉分光法、X線反射法等などの膜厚み評価法;顕微鏡観察、SEM観察やAFM観察などの外観や表面形状評価方法;ナノインデンターや剥離試験による強度および接着性評価方法などを用いて行うことができる。
 本発明のペリクルは、露光装置内で、原版に異物が付着することを抑制するための保護部材としてだけでなく、原版の保管時や、原版の運搬時に原版を保護するための保護部材としてもよい。
 リソグラフィーでは、回路パターンが正確に転写されることが必要である。従って、露光範囲において露光光の透過率がほぼ均一であることが必要である。本発明のペリクル膜を用いることで、露光範囲において一定の光線透過率を有するペリクルが得られる。
 本発明のペリクルは、前述のペリクル膜をペリクル枠に固定する工程を経て得ることができる。ペリクル膜をペリクル枠に固定する方法は、特に制限されない。
 基板から剥離したペリクル膜を、ペリクル枠と接触させて固定してもよい。具体的には、犠牲層あるいは基板のエッチング液面に浮いているペリクル膜に、液面の上からペリクル枠を接触させてペリクル膜をペリクル枠に固定し、ペリクル枠とペリクル膜を液面から引き上げることで、ペリクル枠に固定されたペリクル膜を得ることができる。
 液面からペリクル枠とペリクル膜を引き上げるときに、液面の表面張力や液体の粘性に由来した応力がペリクル膜およびペリクル枠に加わるため、引き上げる途中でペリクル膜が破れやすい。そこで、界面張力の低い液体を使用するか、界面活性剤を添加して液面の界面張力を低下させて引き上げの速度を遅くしたり;ペリクル膜を引き上げる角度を液面に対して垂直方向に近づけたりして、ペリクル膜に加わる応力を少なくすることによって、ペリクル膜を破れさせることなく液面から引き上げることができる。
 あるいは、基板に積層されたペリクル膜にペリクル枠を固定した後;ペリクル枠が固定されたペリクル膜を基板から剥離してもよい。ペリクル膜にペリクル枠を固定する方法は、特に制限されない。また、ペリクル枠が固定されたペリクル膜を基板から剥離する方法も、特に制限されず、犠牲層を用いる方法、基板をエッチングあるいは溶解させる方法、離型剤を用いる方法、基板表面処理を施す方法など、任意の手法を使用することができる。
 このように、ペリクル膜を基板から剥離する前に、ペリクル枠とペリクル膜を固定することで、ペリクル膜に皺やたるみを生じることなく、ペリクル膜をペリクル枠に固定することができる。一方で、ペリクル枠が固定されたペリクル膜を基板から剥離するときに、ペリクル枠の周辺に応力が集中する傾向があり、ペリクル膜がペリクル枠周辺を起点として破れやすいことがある。そこで、ペリクル枠とペリクル膜との間に応力を分散させるバッファー層をさらに設けることで、ペリクル膜が破れるのを防ぐことができる。
 あるいは、ペリクル膜が積層された基板の一部をエッチングして、基板をペリクル枠の一部としてもよい。即ち、基板上にペリクル膜を積層した後、基板のペリクル膜が積層された面とは反対側の面に枠のサイズに合わせてマスクを施し、枠の形状を残してエッチングまたは溶解させることで、基板の一部をペリクル枠とすることができる。そのような基板の材質としては、金属、シリコンウェハ、ガラス、樹脂、塩など特定の処理方法で除去できるものを用いることができる。
 3.露光原版
 本発明の露光原版は、原版と、該原版に装着された本発明のペリクルとを有する。本発明の露光原版は、本発明のペリクルを備えるので、前述のペリクルと同様の効果を奏する。
 原版は、支持基板と、該支持基板上に積層された反射層と、該反射層上に積層された吸収体層とを含みうる。吸収体層がEUV光などの露光光の一部を選択的に吸収することで、感応基板(例えば、フォトレジスト膜付き半導体基板)上に所望の像が形成される。反射層は、モリブデン(Mo)とシリコン(Si)との多層膜でありうる。吸収体層は、クロム(Cr)や窒化タンタル等、EUV光等の露光光の吸収性が高い材料で構成されうる。
 原版に付着した異物は、EUV光等の露光光を吸収または散乱させるため、感応基板(ウエハなど)の解像不良を引き起こす原因となる。したがって、ペリクルは、原版の露光光の照射エリアを覆うように装着されることが好ましい。
 原版にペリクルを装着する方法は、特に限定されない。例えば、原版にペリクル枠14を直接貼り付けてもよいし;原版にペリクル枠14を、該ペリクル枠14の一方の端面に形成された原版用接着剤層15を介して貼り付けてもよいし;機械的に固定する方法や磁石などの引力を利用して原版とペリクル枠14とを固定してもよい。
 4.露光装置
 本発明の露光装置は、露光光を放出する光源と、本発明の露光原版と、光源から放出された露光光を露光原版に導く光学系とを有する。本発明の露光原版は、前述の通り、原版と、それに装着されたペリクルとを有し;光源から放出された露光光がペリクル膜を透過して原版に照射されるように配置される。本発明における露光光は、前述の通り、好ましくはEUV光などの露光光であり、より好ましくはEUV光である。
 このような露光装置を用いることにより、半導体装置を製造することができる。具体的には、1)光源から出射された露光光を、ペリクル(のペリクル膜)を透過させて原版に照射し、該原版で反射させるステップと;2)原版で反射された露光光を、ペリクル(のペリクル膜)を透過させて感応基板に照射し、感応基板をパターン状に露光するステップとを経て半導体装置を製造することができる。それにより、EUV光によって、感応基板上に微細化されたパターン(例えば線幅32nm以下)を形成できる。さらに、異物による解像不良が問題となり易いEUV光を用いた場合であっても、異物による解像不良の少ないパターン露光を行うことができる。
 本発明のペリクルを、EUV露光装置内で使用する例を示す。図3は、EUV露光装置の一例を示す概略断面図である。EUV露光装置は、EUVを出射する光源21と、光源21からの光を原版23に導く光学系22と、パターン状にEUVを反射する原版23およびそのEUV照射面側に装着されたペリクル10(原版23とペリクル10とを合わせて露光原版ともいう)とを含む。EUV露光装置では、原版23により反射された光が、感応基板24上に導かれ、感応基板24がパターン状に露光される。なお、EUV光等による露光は、減圧条件下で行われる。
 光源21は、光学系22に向けてEUVを出射する。光源21には、ターゲット材と、パルスレーザー照射部等が含まれる。このターゲット材にパルスレーザーを照射し、プラズマを発生させることで、EUVが得られる。ターゲット材をXeとすると、波長13~14nmのEUVが得られる。光源21が発する光の波長は、13~14nmに限られず、波長5~30nmの範囲内の、目的に適した波長の光であればよい。
 また、EUVリソグラフィー時に光源21が発する光は、極めて高いエネルギーを有することが好ましい。ペリクル10のペリクル膜表面における、EUV光の照射強度は0.1~5W/cmであることが好ましく、より好ましくは0.5~5W/cmである。ペリクル膜表面におけるEUV光の照射強度が上記範囲であると、感応基板24のEUV露光が効率的に行われる。
 光学系22は、光源21から照射された光を集光し、照度を均一化して原版23に照射する。光学系22には、EUVの光路を調整するための複数枚の多層膜ミラーと、光結合器(オプティカルインテグレーター)等が含まれる。多層膜ミラーは、モリブデン(Mo)、シリコン(Si)が交互に積層された多層膜等である。
 原版23は、前述の3.露光原版に含まれる原版と同じでありうる。ペリクル10は、原版23のEUV照射面側に装着されている。原版23に付着した異物は、EUVを吸収または散乱させるため、感応基板への解像不良を引き起こしやすいことから、ペリクル10は原版23のEUV照射エリアを覆うように装着される。ペリクル10の原版23への装着方法は、前述の3.露光原版で述べたのと同様としうる。
 原版23で反射されたEUV光は、感応基板24に照射される。感応基板24は、半導体ウエハ上にレジストが塗布された基板等であり、原版23によって反射されたEUV光により、レジストがパターン状に硬化する。このレジストを現像し、半導体ウエハのエッチングを行うことで、半導体ウエハに所望のパターンを形成する。
 図4は、EUV露光装置の他の例を示す概略断面図である。図4に示されるように、EUV露光装置は、EUVを出射する光源31と、光源31からの光を原版33に導く照明光学系37と、パターン状にEUVを反射する原版33およびそのEUV照射面側に装着されたペリクル10(原版33とペリクル10とを合わせて露光原版ともいう)と、原版33が反射した光を感応基板34へ導く投影光学系38とを含みうる。
 上記照明光学系32および投影光学系38は、通常、EUVの光路を調整するための複数枚の多層膜反射ミラー32、35、36等を含みうる。当該EUV装置において、前述のペリクル10は原版33の保護だけでなく、EUV光源からの飛散粒子(デブリ)を捕捉するためのフィルター・ウィンドウ20、25とすることもできる。フィルター・ウィンドウ20、25は、EUV露光装置の照明光学系37と光源31との間、および照明光学系37と原板33との間のいずれか一方、もしくは両方に配置することができる。2つのフィルター・ウィンドウ20、25は同様の構造でありうる。
 実施例および比較例で用いたフィルムを下記に示す。
 (1)下記式で表される繰返し単位を有する全芳香族ポリイミドフィルムA
Figure JPOXMLDOC01-appb-C000007
 500mLの3つ口フラスコに攪拌羽根を取り付けて合成容器とした。当該合成容器に芳香族テトラカルボン酸二無水物としてピロメリット酸二無水物(PMDA)32.68g(0.1498mol)と、溶媒としてN-メチルピロリドン(NMP)306.2gとを投入した。これらを60℃に加熱して2時間撹拌し、固形分濃度が17質量%のPMDA組成物を得た。さらに、芳香族ジアミンとして4,4’-ジアミノジフェニルエーテル(ODA)30.00g(0.1498mol)を加えた。これを60℃で1時間撹拌して、固形分濃度が17質量%であるポリイミド前駆体組成物を得た。得られたポリイミド前駆体組成物をNMPで希釈し、固形分濃度が1~5質量%である、ポリイミド前駆体溶液を得た。
 当該ポリイミド前駆体溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、230℃で30分間保持し、溶媒の乾燥、及びイミド化を行い、全芳香族ポリイミドフィルムAからなる均一な膜を得た。
 得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (2)下記式で表される繰返し単位を有する全芳香族ポリイミドフィルムB
Figure JPOXMLDOC01-appb-C000008
 500mLの3つ口フラスコに攪拌羽根を取り付けて合成容器とした。当該合成容器に、芳香族テトラカルボン酸二無水物としてピロメリット酸二無水物(PMDA)35.51g(0.0814mol)と、溶媒としてN,N-ジメチルアセトアミド(DMAc)278gとを投入した。これらを60℃に加熱して2時間撹拌し、固形分濃度が17質量%のPMDA組成物を得た。さらに、芳香族ジアミンとして4,4’-ビス(3-アミノフェノキシ)ビフェニル(m-BP)29.62g(0.0814mol)を加え、60℃で1時間撹拌した。これにより、固形分濃度が17質量%であるポリイミド前駆体組成物を得た。得られたポリイミド前駆体組成物をDMAcで希釈し、固形分濃度が1~5質量%であるポリイミド前駆体溶液を得た。
 このポリイミド前駆体溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、230℃で30分間保持し、溶媒の乾燥、及びイミド化を行い、全芳香族ポリイミドフィルムBからなる均一な膜を得た。
 得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (3)下記式で表される繰返し単位を有する全芳香族ポリイミドフィルムC
Figure JPOXMLDOC01-appb-C000009
 500mLの3つ口フラスコに攪拌羽根を取り付けて合成容器とした。当該合成容器に、芳香族テトラカルボン酸二無水物としてビフェニルテトラカルボン酸二無水物(BPDA)35.74g(0.1215mol)と、溶媒としてN-メチルピロリドン(NMP)256.5gとを投入した。これらを60℃に加熱して2時間撹拌し、固形分濃度が16質量%のBPDA組成物を得た。当該組成物に、芳香族ジアミンとしてパラフェニレンジアミン(PDA)13.14g(0.1215mol)を加えた。これを60℃で1時間撹拌して、固形分濃度が16質量%であるポリイミド前駆体組成物を得た。得られたポリイミド前駆体組成物をNMPで希釈し、固形分濃度が1~5質量%であるポリイミド前駆体溶液を得た。
 このポリイミド前駆体溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、230℃で30分間保持し、溶媒の乾燥、及びイミド化を行い、全芳香族ポリイミドフィルムCからなる均一な膜を得た。
 得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (4)下記式で表される繰返し単位を有する脂環式ポリイミドフィルム(PMDA-NBDA)
Figure JPOXMLDOC01-appb-C000010
 攪拌機、温度計および窒素導入管を備えたフラスコに、ピロメリット酸二無水物(PMDA)10.91g(0.050mol)とN,N-ジメチルアセトアミド(DMAc)30gを投入し、窒素気流下、10℃で攪拌した。ここへ脂環式ジアミン化合物である2,5-ジアミノメチル-ビシクロ[2.2.1]ヘプタンおよび2,6-ジアミノメチル-ビシクロ[2.2.1]ヘプタンの混合物(NBDA)7.72g(0.050mol)、及びDMAc7.81gからなる混合溶液を90分間かけて、徐々に滴下した。その後、室温で5時間攪拌した。さらに50℃まで昇温してから、5時間攪拌し、ポリイミド前駆体組成物を得た。得られた前駆体組成物をDMAcで希釈し、固形分濃度が1~5質量%であるポリイミド前駆体溶液を得た。
 このポリイミド前駆体溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、230℃で30分間保持し、溶媒の乾燥、及びイミド化を行い、上記脂環式ポリイミド(PMDA-NBDA)からなる均一な膜を得た。
 得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (5)下記式で表される繰返し単位を有するフッ素樹脂フィルム(Cytop)
Figure JPOXMLDOC01-appb-C000011
 環状構造を有するパーフルオロエーテル重合体(サイトップCTX-S、旭硝子社製)をパーフルオロトリブチルアミンに溶解させ、固形分濃度が1~3%であるパーフルオロトリブチルアミン溶液を得た。このパーフルオロトリブチルアミン溶液を、製膜用基板上に滴下し、スピンコータでフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。当該フィルムを室温で30分間乾燥し、さらにオーブンで180℃に加熱乾燥し、均一なフッ素樹脂からなる膜を得た。得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (6)単結晶シリコン
 シリコンフォイル(Silicon foil、Lebow Company社製)の100nm膜、及び200nm膜を準備した。
 (7)ポリスチレンフィルム
 シグマ・アルドリッチ製ポリスチレン(平均分子量200,000)にp-キシレンを加え、固形分濃度が1~3質量%であるポリスチレン溶液を得た。
 このポリスチレン溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、200℃で10分間保持し、溶媒の乾燥を行い、ポリスチレンからなる均一な膜を得た。得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(フィルメトリクス社製、F20)、及び赤外分光装置(日本分光社製 FT/IR-300E)で測定された赤外線透過吸収率から算出した。
 (8)高密度ポリエチレンフィルム
 三井化学社製高密度ポリエチレン(ミペロン、XM-220)をp-キシレンに加熱溶解し、固形分濃度が1質量%の溶液を得た。この溶液を100℃に加熱したシリコンウェハの上に塗布し、溶媒を乾燥させることで厚さ2~5μmのポリエチレンフィルムを得た。得られたフィルムをさらに加熱・延伸して薄膜化した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (9)下記式で表される繰返し単位を有するポリオレフィンフィルムA(TPX)
Figure JPOXMLDOC01-appb-C000012
 メチルペンテンポリマー(TPX(DX820)、三井化学社製)に、テトラヒドロフラン(THF)及びシクロヘキサンの1:1混合溶媒を添加し、固形分濃度が1~3質量%であるポリオレフィン溶液を得た。
 このポリオレフィン溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、200℃で10分間保持し、溶媒の乾燥を行い、上記ポリオレフィンからなる均一な膜を得た。得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 (10)下記式で表される繰返し単位を有するポリオレフィンフィルムB(Apel)
Figure JPOXMLDOC01-appb-C000013
 環状オレフィンコポリマー(Apel(6011)、三井化学社製)の粉末を、p-キシレンに浸漬して部分溶解させ、上澄み液を取り出すことで固形分濃度が1~3質量%である環状オレフィンコポリマー溶液を得た。この環状オレフィンコポリマー溶液をスポイト(溶液供給手段)から製膜用基板上に供給し、スピンコータにてフィルムを作製した。製膜用基板にはシリコンウェハを用いた。設定する膜厚に応じて、スピンコート回転数1500rpm~3000rpmの範囲で製膜した。次にイナートオーブン内で、200℃で10分間保持し、溶媒の乾燥を行い、上記ポリオレフィンからなる均一な膜を得た。得られた膜を、シリコンウェハから剥離した。膜厚みは反射率分光法を用いた薄膜測定装置(F20、フィルメトリクス社製)、及び赤外分光装置(FT/IR-300E、日本分光社製)で測定された赤外線透過吸収率から算出した。
 各実施例および比較例において、ペリクル膜(フィルム)の評価は次のように行った。
 [Tg、Tm測定]
 得られたフィルムの融点及びガラス転移温度を、示差走査熱量測定器(Perkin Elmer社製DSC-7型)を用いて、JIS K7121(1987)に準拠した方法で測定した。昇温速度は10℃/分とした。
 [膜自立性の評価]
 得られたフィルムについて、次の評価を行った。
 各フィルムを外寸149mm×122mm×5.8mm、フレーム幅2mmのアルミニウム合金A7075製のペリクル枠に、アクリル系接着剤により貼付した。このときのペリクル膜の様子を目視で評価した。
 ・ペリクル膜に、破れや皺やたるみが生じなかった:○
 ・ペリクル膜に、破れや皺やたるみが生じた:×
 [EUV照射試験]
 各フィルムに、EUV照射装置(ニュースバル(施設名) BL-10、兵庫県立大)にて、波長13.5nmの光(EUV)を照度4mW/cmで30分間、フィルム面に対して垂直方向に照射した(EUV照射条件(1))。入射光強度の半値全幅から求めたビームサイズは1mm×0.8mmであった。
 別のフィルムに、EUV照射条件(1)と同一の装置にて、波長13.5nmの光(EUV)を照度90mW/cmで10分間照射した(EUV照射条件(2))。入射光強度の半値全幅から求めたビームサイズは0.15mm×0.8mmであった。フィルムのサイズはいずれの照射条件でも0.5~1cm角とした。
 別のフィルムに、EUV照射条件(1)と同一の装置にて、波長13.5nmの光(EUV)を照度90mW/cmで10分間照射した(EUV照射条件(2))。
 別のフィルムに、EUV照射条件(1)と同一の装置にて、波長13.5nmの光(EUV)を照度90mW/cmで80分間照射した(EUV照射条件(3))。入射光強度の半値全幅から求めたビームサイズは0.15mm×0.8mmであった。フィルムのサイズはいずれの照射条件でも0.5~1cm角とした。
 そして、EUV照射試験を行う前の透過率と、EV照射試験を行った後の透過率とをそれぞれ求めた。EUV照射時には、サンプルフィルムを透過したEUVをフォトダイオードで検出する機構を設置した。サンプルを設置していない状態でフォトダイオードが検出する電流値(入射光強度I)、及びサンプルを設置した状態でフォトダイオードが検出する電流値(透過光強度I)から、下記式に従い透過率を求めた。
Figure JPOXMLDOC01-appb-M000004
 EUV照射後、フィルムにシワ及び穴が形成されたか、反射型光学顕微鏡および非接触3次元形状測定装置(WYKO、Veeco社製)にて観察した。評価は、以下のように行った。
 (EUV照射後の皺の評価)
  EUV照射領域に、一切皺が見られなかった:○
  EUV照射領域の一部に、皺が見られた:△
  EUV照射領域の全域に、皺が見られた:×
 (EUV照射後の穴の評価)
  EUV照射領域に、穴が開かなかった:○
  EUV照射領域の一部に、穴が空いた:△
  EUV照射領域全域に、穴が開いた:×
 [赤外線吸収スペクトル測定]
 EUV照射前後のフィルムについて、それぞれ赤外線吸収スペクトルを測定し、EUV照射前後のサンプルフィルムを構成する樹脂が構造変化したか、赤外線吸収スペクトルデータを比較して確認した。赤外線吸収スペクトル測定装置は、Varian社製FTS3100 UMA600顕微システムとした。測定手法は透過法とし、4000~700cm-1の波数範囲にわたって4cm-1の分解能で赤外線吸収スペクトルを測定した。積算回数は128回、測定面積は100μm×100μmとした。EUVの照射部分と未照射部分で、各官能基に特有のピークの形状や強度に変化があるか、新たなピークが発生しているか、を比較した。
 (実施例1-1)
 厚みが20nmの全芳香族ポリイミドフィルムAを複数枚準備した。これについて、膜自立性の評価、EUV照射試験および赤外線吸収スペクトル測定を行った。結果を表1に示す。
 (実施例1-2)
 厚みが50nmの全芳香族ポリイミドフィルムAを準備した以外は、実施例1-1と同様にして膜自立性の評価、EUV照射試験、及び赤外線吸収スペクトル測定を行った。結果を表1に示す。
 (実施例2~4、及び比較例1~6)
 下記表1に示す厚みの各種フィルムについて、実施例1-1と同様に、膜自立性の評価、EUV照射試験、赤外線吸収スペクトル測定、及びEUV照射後の透過率測定を行った。結果を表1に示す。
 図5Aおよび図5Bは、EUV照射領域に一切皺が見られず、さらに穴も観察されなかった例(実施例1-1:EUV照射条件(2))の写真である。図5Aは非接触3次元形状測定装置(wyko)で撮影した写真であり;図5Bは反射型光学顕微鏡で撮影した写真である。
 図6Aおよび図6Bは、EUV照射領域の一部に皺が見られた例(実施例2:EUV照射条件(2))の写真である。図6Aは非接触3次元形状測定装置(wyko)で撮影した写真であり;図6Bは反射型光学顕微鏡で撮影した写真である。
 図7に、EUV照射領域に穴があいた例(比較例5:EUV照射条件(2))の写真を示す。図7は反射型光学顕微鏡で撮影した写真である。
 図8に、EUV照射前後で、構造変化が見られなかった例(実施例1-2:EUV照射条件(2))の赤外線吸収スペクトルを示す。
 図9に、EUV照射前後で、大きく構造変化した例(比較例5:EUV照射条件(2))の赤外線吸収スペクトルを示す。
 図10に、EUV照射後に透過率が変化しなかった例(実施例2)のEUV透過率と照射時間の関係を示す。
Figure JPOXMLDOC01-appb-T000001
 表1に示されるように、特定の樹脂薄膜からなるペリクル膜は、いずれも自立性を有し、ペリクル枠への貼り付け性は良好であった(実施例1~4)。また、これらのペリクル膜は、EUV照射条件(1)と(2)の両方において、EUV照射部に穴は形成されず(〇);皺も形成されないか(〇)、ごく僅かに形成される程度(△)であり、EUV耐性が高かった。
 また、実施例1または2に用いられた全芳香族ポリイミドフィルムAおよびBは、約80分のEUV照射後も、EUV透過率は照射前と変化せずに一定の値を示した(図10参照)。芳香族ポリイミド樹脂は高い耐熱性を有することから、高温になっても流動を生じにくいこと;および3級炭素を持たずイミド環や芳香環などの共役構造を分子鎖内に有するため、吸収されたEUV光のエネルギーを非局在化させることで構造変化を抑制できることなどにより、長時間のEUV照射に対しても透過率の変動が少なかったと考えられる。
 これに対して、単結晶シリコンフィルムは、膜厚が厚い場合にも自立性を有さず、補助部材が必要であった(比較例1)。また、ガラス転位温度(Tg)と融点(Tm)を有する樹脂では融点(Tm)が150℃未満であるか;ガラス転位温度(Tg)と融点(Tm)のいずれか一方しか有さない樹脂ではいずれか一方が150℃未満である場合に、EUV照射部に穴が開いたり、EUV照射領域に皺が形成されたりしやすかった(比較例1および3~5)。特に、EUV照射量が多いEUV照射条件(2)でこの傾向が見られた。これは、EUV照射によって熱が発生し、ペリクル膜が流動したためと推察される。
 また、ペリクル膜を構成する樹脂が、3級炭素を有し、かつ3級炭素が芳香環に結合していない場合には、EUV照射により、構造変化が見られた(比較例1および5~6、および実施例4)。これは、EUV照射によって、3級炭素を含むC-C結合が切断されたためと推察される。また、これらの樹脂はEUV照射中に透過率が上昇する傾向がある。これは切断された分子骨格の一部が膜から離脱することで膜厚みが減少したためと考えられる。また、切断された部分は化学的に不安定な状態となっており、測定後サンプルを取り出した後で空気中の酸素と反応することで酸化劣化が生じたと推察される。特に、EUV照射量が多いEUV照射条件(2)でこれらの傾向が見られた。
 本出願は、2013年9月30日出願の特願2013-204658に基づく優先権を主張する。当該出願明細書および図面に記載された内容は、すべて本願明細書に援用される。
 樹脂薄膜からなる本発明のペリクル膜は、EUV光などの露光光に対する透過性が高い。また、樹脂薄膜は入手が容易であり、また安価である。したがって、原版を保護するためのペリクル、好ましくはEUVリソグラフィー用のペリクルを安価で提供できる。
 10 ペリクル
 12 ペリクル膜
 13 膜接着剤層
 14 ペリクル枠
 15 原版用接着剤層
 16 通気孔
 20、25 フィルター・ウィンドウ
 21、31 光源
 22 光学系
 23、33 原版
 24、34 感応基板
 32、35、36 多層膜反射ミラー
 37 照明光学系
 38 投影光学系

Claims (13)

  1.  波長13.5nmの光の透過率が50%以上であり、
     芳香族ポリイミド、脂肪族ポリイミド、架橋ポリエチレン、架橋ポリスチレン、ポリエーテルイミド、ポリフェニレンサルフォン、ポリフェニレンエーテル、ポリエーテルサルフォン、ポリエーテルエーテルケトン、液晶ポリマー、ポリエチレンテレフタレート、芳香族ポリアミド、パリレン、及びヘテロ芳香環を含む高分子化合物からなる群から選ばれる少なくとも1種の樹脂を含む樹脂薄膜からなる、ペリクル膜。
  2.  前記樹脂が、芳香族ポリイミドまたは脂肪族ポリイミドの少なくとも一方である、請求項1に記載のペリクル膜。
  3.  前記芳香族ポリイミドが、下記一般式(1)で表される繰り返し単位を含む芳香族ポリイミドである、請求項2に記載のペリクル膜。
    Figure JPOXMLDOC01-appb-C000014
    (一般式(1)において、
     Aは、m-フェニレンジアミン、o-フェニレンジアミン、p-フェニレンジアミン、3,3’-ジアミノジフェニルエーテル、3,4’-ジアミノジフェニルエーテル、4,4’-ジアミノジフェニルエーテル、3,3’-ジアミノジフェニルスルホン、4,4’-ジアミノジフェニルスルホン、3,3’-ジアミノベンゾフェノン、3,3’-ジアミノジフェニルメタン、4,4’-ジアミノジフェニルメタン、1,3-ビス(3-アミノフェノキシ)ベンゼン、1,3-ビス(4-アミノフェノキシ)ベンゼン、及び4,4’-ビス(3-アミノフェノキシ)ビフェニルからなる群から選択されるジアミンから誘導される2価の基であり;
     Bは、ピロメリット酸二無水物、3,3’,4,4’-ビフェニルテトラカルボン酸二無水物、2,3,3’,4-ビフェニル-テトラカルボン酸二無水物、3,3’,4,4’-ベンゾフェノンテトラカルボン酸二無水物、4,4’-オキシジフタル酸二無水物、及び3,3’,4,4’-ジフェニルスルホンテトラカルボン酸二無水物からなる群から選択されるテトラカルボン酸二無水物から誘導される4価の基である)
  4.  前記樹脂のガラス転移温度または融点が150℃以上である、請求項1に記載のペリクル膜。
  5.  前記樹脂薄膜の厚みが10~100nmである、請求項1に記載のペリクル膜。
  6.  請求項1に記載のペリクル膜と、前記ペリクル膜の外周を支持するペリクル枠とを含む、ペリクル。
  7.  EUVリソグラフィーに用いられる、請求項6に記載のペリクル。
  8.  原版と、前記原版に装着された請求項6に記載のペリクルとを含む、露光原版。
  9.  請求項8に記載の露光原版を有する、露光装置。
  10.  露光光を放出する光源と、光学系と、請求項8に記載の露光原版とを有する露光装置であって、
     前記露光原版に、前記光源からの露光光が前記光学系を介して導かれ、
     前記露光原版は、前記光源から放出された露光光が前記露光原版のペリクル膜を透過して前記原版に照射されるように配置されている、請求項9に記載の露光装置。
  11.  前記露光光がEUV光である、請求項10に記載の露光装置。
  12.  請求項8に記載の露光原版を用いた半導体装置の製造方法であって、
     露光光を、前記露光原版のペリクル膜を透過させて原版に照射し、前記原版で反射させるステップと、
     前記原版によって反射された露光光を、前記ペリクル膜を透過させて感応基板に照射して、前記感応基板をパターン状に露光するステップとを有する、半導体装置の製造方法。
  13.  前記露光光がEUV光である、請求項12に記載の半導体装置の製造方法。
PCT/JP2014/004965 2013-09-30 2014-09-29 ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法 WO2015045414A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015538917A JP6326056B2 (ja) 2013-09-30 2014-09-29 ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013204658 2013-09-30
JP2013-204658 2013-09-30

Publications (1)

Publication Number Publication Date
WO2015045414A1 true WO2015045414A1 (ja) 2015-04-02

Family

ID=52742582

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2014/004965 WO2015045414A1 (ja) 2013-09-30 2014-09-29 ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法

Country Status (3)

Country Link
JP (1) JP6326056B2 (ja)
TW (1) TW201520685A (ja)
WO (1) WO2015045414A1 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2534404A (en) * 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
JP2017078728A (ja) * 2015-10-19 2017-04-27 信越化学工業株式会社 Euv用ペリクル
JP2017083791A (ja) * 2015-10-30 2017-05-18 三井化学株式会社 ペリクル、ペリクルの製造方法及びペリクルを用いた露光方法
JP2017083806A (ja) * 2015-10-29 2017-05-18 信越化学工業株式会社 Euvリソグラフィー用ペリクルに適した接着剤とこれを用いたペリクル
JP2018077412A (ja) * 2016-11-11 2018-05-17 信越化学工業株式会社 グラフェン膜の製造方法及びこれを用いたペリクルの製造方法
WO2019039391A1 (ja) * 2017-08-23 2019-02-28 リンテック株式会社 高分子薄膜、フィルム状積層体、および、高分子薄膜の製造方法
WO2019039392A1 (ja) * 2017-08-23 2019-02-28 リンテック株式会社 高分子薄膜、フィルム状積層体、および、高分子薄膜の製造方法
JP2019113857A (ja) * 2019-02-20 2019-07-11 信越化学工業株式会社 Euv用ペリクル
WO2019176410A1 (ja) * 2018-03-14 2019-09-19 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
WO2019203353A1 (ja) * 2018-04-20 2019-10-24 宇部興産株式会社 ポリイミド、積層体およびそれらを含む電子デバイス
JP2019189872A (ja) * 2019-06-06 2019-10-31 宇部興産株式会社 ポリイミド、積層体およびそれらを含む電子デバイス
CN111258179A (zh) * 2018-12-03 2020-06-09 信越化学工业株式会社 防尘薄膜组件
US11281092B2 (en) 2018-11-20 2022-03-22 Samsung Electronics Co., Ltd. Pellicle for extreme ultraviolet lithography and method of manufacturing the same
JP7452854B2 (ja) 2020-07-20 2024-03-19 国立研究開発法人物質・材料研究機構 水素透過検出のための試料及びその製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6551837B2 (ja) * 2015-08-17 2019-07-31 三井化学株式会社 ペリクルフレーム、及びこれを含むペリクル

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003156836A (ja) * 2001-11-21 2003-05-30 Sony Corp フォトマスク構造体、露光方法及び露光装置
JP2006504996A (ja) * 2002-10-29 2006-02-09 トッパン、フォウタマスクス、インク フォトマスク・アセンブリ、およびリソグラフィ工程中に生成される汚染物からそれを保護する方法
JP2010256434A (ja) * 2009-04-22 2010-11-11 Shin-Etsu Chemical Co Ltd リソグラフィ用ペリクルおよびその製造方法
JP2011174979A (ja) * 2010-02-23 2011-09-08 Toshiba Corp マスク洗浄方法、マスク洗浄装置及びペリクル
JP2011209344A (ja) * 2010-03-29 2011-10-20 Shin-Etsu Chemical Co Ltd ペリクル及びその取り付け方法、並びにペリクル付マスク及びマスク
JP2012078729A (ja) * 2010-10-05 2012-04-19 Toppan Printing Co Ltd ペリクル及び露光装置
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2642813B2 (ja) * 1991-09-25 1997-08-20 株式会社テック 現像同時クリーニング方式の画像形成装置
JPH09320935A (ja) * 1996-05-28 1997-12-12 Canon Inc X線マスク、該x線マスクを用いたx線露光装置、前記x線マスクを用いた半導体デバイスの製造方法、及び前記x線マスクを用いて製造した半導体デバイス

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003156836A (ja) * 2001-11-21 2003-05-30 Sony Corp フォトマスク構造体、露光方法及び露光装置
JP2006504996A (ja) * 2002-10-29 2006-02-09 トッパン、フォウタマスクス、インク フォトマスク・アセンブリ、およびリソグラフィ工程中に生成される汚染物からそれを保護する方法
JP2010256434A (ja) * 2009-04-22 2010-11-11 Shin-Etsu Chemical Co Ltd リソグラフィ用ペリクルおよびその製造方法
JP2011174979A (ja) * 2010-02-23 2011-09-08 Toshiba Corp マスク洗浄方法、マスク洗浄装置及びペリクル
JP2011209344A (ja) * 2010-03-29 2011-10-20 Shin-Etsu Chemical Co Ltd ペリクル及びその取り付け方法、並びにペリクル付マスク及びマスク
JP2012078729A (ja) * 2010-10-05 2012-04-19 Toppan Printing Co Ltd ペリクル及び露光装置
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2534404A (en) * 2015-01-23 2016-07-27 Cnm Tech Gmbh Pellicle
WO2016116329A1 (en) * 2015-01-23 2016-07-28 Cnm Technologies Gmbh Pellicle
KR20170115556A (ko) * 2015-01-23 2017-10-17 씨엔엠 테크놀로지스 게엠베하 펠리클
KR102268421B1 (ko) 2015-01-23 2021-06-25 씨엔엠 테크놀로지스 게엠베하 펠리클
US11009802B2 (en) 2015-01-23 2021-05-18 Cnm Technologies Gmbh Pellicle
JP2017078728A (ja) * 2015-10-19 2017-04-27 信越化学工業株式会社 Euv用ペリクル
JP2017083806A (ja) * 2015-10-29 2017-05-18 信越化学工業株式会社 Euvリソグラフィー用ペリクルに適した接着剤とこれを用いたペリクル
JP2017083791A (ja) * 2015-10-30 2017-05-18 三井化学株式会社 ペリクル、ペリクルの製造方法及びペリクルを用いた露光方法
JP2018077412A (ja) * 2016-11-11 2018-05-17 信越化学工業株式会社 グラフェン膜の製造方法及びこれを用いたペリクルの製造方法
CN111032751B (zh) * 2017-08-23 2022-10-28 琳得科株式会社 高分子薄膜、膜状层叠体、及高分子薄膜的制造方法
JPWO2019039392A1 (ja) * 2017-08-23 2019-11-07 リンテック株式会社 フィルム状積層体、および、高分子薄膜の製造方法
WO2019039391A1 (ja) * 2017-08-23 2019-02-28 リンテック株式会社 高分子薄膜、フィルム状積層体、および、高分子薄膜の製造方法
WO2019039392A1 (ja) * 2017-08-23 2019-02-28 リンテック株式会社 高分子薄膜、フィルム状積層体、および、高分子薄膜の製造方法
CN111032752A (zh) * 2017-08-23 2020-04-17 琳得科株式会社 高分子薄膜、膜状层叠体、及高分子薄膜的制造方法
JPWO2019039391A1 (ja) * 2017-08-23 2019-11-07 リンテック株式会社 高分子薄膜、フィルム状積層体、および、高分子薄膜の製造方法
CN111032751A (zh) * 2017-08-23 2020-04-17 琳得科株式会社 高分子薄膜、膜状层叠体、及高分子薄膜的制造方法
WO2019176410A1 (ja) * 2018-03-14 2019-09-19 株式会社カネカ 炭素質膜を含むペリクル及び炭素質膜を含むペリクルの製造方法
US11898009B2 (en) 2018-04-20 2024-02-13 Ube Corporation Polyimide, laminate, and electronic device including same
KR102526403B1 (ko) * 2018-04-20 2023-04-28 유비이 가부시키가이샤 폴리이미드, 적층체 및 그들을 포함하는 전자 디바이스
KR20210003189A (ko) * 2018-04-20 2021-01-11 우베 고산 가부시키가이샤 폴리이미드, 적층체 및 그들을 포함하는 전자 디바이스
CN112313264A (zh) * 2018-04-20 2021-02-02 宇部兴产株式会社 聚酰亚胺、层积体和包含它们的电子器件
WO2019203353A1 (ja) * 2018-04-20 2019-10-24 宇部興産株式会社 ポリイミド、積層体およびそれらを含む電子デバイス
JPWO2019203353A1 (ja) * 2018-04-20 2021-05-20 宇部興産株式会社 ポリイミド、積層体およびそれらを含む電子デバイス
US20210171714A1 (en) * 2018-04-20 2021-06-10 Ube Industries, Ltd. Polyimide, layered product, and electronc device including same
US11281092B2 (en) 2018-11-20 2022-03-22 Samsung Electronics Co., Ltd. Pellicle for extreme ultraviolet lithography and method of manufacturing the same
JP2022066486A (ja) * 2018-12-03 2022-04-28 信越化学工業株式会社 ペリクル、ペリクル付露光原版、露光方法及び半導体の製造方法
US11054738B2 (en) 2018-12-03 2021-07-06 Shin-Etsu Chemical Co., Ltd. Pellicle
EP3663854A1 (en) * 2018-12-03 2020-06-10 Shin-Etsu Chemical Co., Ltd. Pellicle
US11422457B2 (en) 2018-12-03 2022-08-23 Shin-Etsu Chemical Co., Ltd. Pellicle
CN111258179A (zh) * 2018-12-03 2020-06-09 信越化学工业株式会社 防尘薄膜组件
CN111258179B (zh) * 2018-12-03 2023-01-24 信越化学工业株式会社 防尘薄膜组件
US11774847B2 (en) 2018-12-03 2023-10-03 Shin-Etsu Chemical Co., Ltd. Pellicle
TWI827740B (zh) * 2018-12-03 2024-01-01 日商信越化學工業股份有限公司 防塵薄膜組件、附有防塵薄膜組件的曝光原版、曝光方法、及半導體的製造方法
JP2019113857A (ja) * 2019-02-20 2019-07-11 信越化学工業株式会社 Euv用ペリクル
JP2019189872A (ja) * 2019-06-06 2019-10-31 宇部興産株式会社 ポリイミド、積層体およびそれらを含む電子デバイス
JP7452854B2 (ja) 2020-07-20 2024-03-19 国立研究開発法人物質・材料研究機構 水素透過検出のための試料及びその製造方法

Also Published As

Publication number Publication date
TW201520685A (zh) 2015-06-01
JPWO2015045414A1 (ja) 2017-03-09
JP6326056B2 (ja) 2018-05-16

Similar Documents

Publication Publication Date Title
JP6326056B2 (ja) ペリクル膜、それを用いたペリクル、露光原版および露光装置、ならびに半導体装置の製造方法
KR102047588B1 (ko) 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법
US10857762B2 (en) Polymer film coated with a layer of silane coupling agent
US9895868B2 (en) Method for producing layered product, layered product, method for producing layered product with device using said layered product, and layered product with device
KR101433555B1 (ko) 적층체와 그 제조 방법 및 그것을 이용한 디바이스 구조체의 제조 방법
JPWO2016031746A6 (ja) シランカップリング剤層積層高分子フィルム
JP6638415B2 (ja) フレキシブル電子デバイスの製造方法
JP2015202674A (ja) 高分子前駆体フィルム層/無機基板積層体、およびその製造方法、高分子フィルム層/無機基板積層体の製造方法、およびフレキシブル電子デバイスの製造方法
TWI519889B (zh) Microsurgical surface film, mask attached to the mask and exposure treatment methods
JP2018126922A (ja) 積層体
JP6802529B2 (ja) 積層体およびその製造方法
JP2017149041A (ja) 積層体およびその製造方法
JP2017170728A (ja) 高分子複合フィルム
KR20160077554A (ko) 플렉서블 표시장치의 제조 방법 및 플렉서블 표시장치
JP6766436B2 (ja) 積層体および積層体の製造方法
WO2022030499A1 (ja) ペリクル、露光原版、露光装置、ペリクルの製造方法及び半導体装置の製造方法
JP6766428B2 (ja) 積層体の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14849178

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2015538917

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14849178

Country of ref document: EP

Kind code of ref document: A1