WO2014081966A1 - Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures - Google Patents
Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures Download PDFInfo
- Publication number
- WO2014081966A1 WO2014081966A1 PCT/US2013/071314 US2013071314W WO2014081966A1 WO 2014081966 A1 WO2014081966 A1 WO 2014081966A1 US 2013071314 W US2013071314 W US 2013071314W WO 2014081966 A1 WO2014081966 A1 WO 2014081966A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- substrate
- chamber
- supercritical fluid
- solvent
- supercritical
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B3/00—Cleaning by methods involving the use or presence of liquid or steam
- B08B3/04—Cleaning involving contact with liquid
- B08B3/08—Cleaning involving contact with liquid the liquid having chemical or dissolving effect
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0021—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02101—Cleaning only involving supercritical fluids
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/67034—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/6704—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
- H01L21/67051—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
Definitions
- Embodiments of the present invention generally relate to a method and apparatus for cleaning semiconductor substrates, and more specifically, to a stiction- free cleaning and/or drying process for high-aspect-ratio semiconductor device structures.
- Line stiction, or line collapse results from bending of the side walls, which form the high- aspect-ratio trench or via, towards each other due to capillary pressure across the liquid-air interface over the liquid trapped in the trench or via during the wet cleaning process(es).
- features with narrow line width and high-aspect-ratios are especially susceptible to the difference in surface tension created between liquid-air and liquid- wall interfaces due to capillary pressure, which is also sometimes referred to as capillary force.
- capillary pressure which is also sometimes referred to as capillary force.
- Current workable drying practices are facing a steeply rising challenge in preventing line stiction as a result of rapid device scaling advancements.
- Embodiments provided herein generally relates to a method of cleaning a substrate and a substrate processing apparatus. More specifically, embodiments relate to a method of cleaning a substrate in a manner that reduces or eliminates the negative effects of line stiction between semiconductor device features. Other embodiments relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features.
- One embodiment generally relates to a method of cleaning a substrate.
- the method comprises exposing a substrate to a solvent to remove an amount of a residual cleaning solution disposed on a surface of the substrate, exposing the substrate to a supercritical fluid to remove the solvent disposed on the surface of the substrate, and exposing the substrate to a plasma.
- the apparatus has a transfer chamber having a robot disposed therein.
- the robot is adapted to transfer one or more substrates between a plurality of processing chambers coupled to the transfer chamber.
- the substrate processing apparatus may include a wet clean chamber coupled to the transfer chamber.
- the wet clean chamber has a substrate support and a cleaning solution delivery apparatus that is adapted to provide a cleaning solution to a processing region of the wet clean chamber.
- a solvent exchange processing chamber is coupled to the transfer chamber.
- the solvent exchange chamber has a substrate support and is coupled to a liquid solvent delivery apparatus adapted to provide liquid solvent to the solvent exchange chamber.
- a supercritical fluid chamber is coupled to the transfer chamber.
- the supercritical fluid chamber has a substrate support, a heating element, a port adapted to receive gaseous or liquid CO 2 , and a pressurization apparatus.
- a plasma chamber is coupled to the transfer chamber.
- the plasma chamber has a substrate support, a showerhead, a port adapted to receive a halogen or O 2 gas, and an RF power source adapted to create a plasma in a processing region of the plasma chamber.
- the apparatus has a wet clean chamber coupled to a transfer chamber.
- the wet clean chamber has a substrate support and a processing region that is coupled to a cleaning solution delivery apparatus adapted to provide a cleaning solution to the processing region of the wet clean chamber.
- a solvent exchange processing chamber is coupled to the transfer chamber.
- the solvent exchange chamber has a substrate support and is coupled to a liquid solvent delivery apparatus adapted to provide a liquid solvent to the solvent exchange chamber.
- a supercritical fluid chamber is coupled to the transfer chamber.
- the supercritical fluid chamber has a substrate support, a heating element, a port adapted to receive a gaseous or liquid CO 2 , and a pressurization apparatus.
- a plasma chamber is coupled to the transfer chamber.
- the plasma chamber has a substrate support, a showerhead, a port adapted to receive a halogen or O 2 gas, and an RF power source adapted to create a plasma in the processing region of the plasma chamber.
- the transfer chamber has a first robot adapted to transfer one or more substrates between the wet clean chamber, solvent exchange processing chamber, the supercritical fluid chamber and the plasma chamber.
- Figure 1 illustrates the effect of stiction created between features formed within a semiconductor device structure formed on a substrate due to the creation of a capillary force during drying subsequent to a wet processing
- Figure 2A illustrates a substrate processing apparatus according to certain embodiments provided herein;
- Figure 2B illustrates a substrate processing apparatus according to certain embodiments provided herein;
- Figure 3A illustrates the substrate process flow in a processing apparatus according to certain embodiments provided herein;
- Figure 3B illustrates the substrate process flow in a processing apparatus according to certain embodiments provided herein;
- Figure 4A illustrates a cross-sectional view of a wet processing chamber according to certain embodiments provided herein;
- Figure 4B illustrates a schematic view of a solvent filtration system according to certain embodiments provided herein;
- Figure 5A illustrates a cross-sectional schematic view of a supercritical fluid chamber according to certain embodiments provided herein;
- Figure 5B illustrates a schematic side view of the supercritical fluid chamber of Figure 5A according to certain embodiments provided herein;
- Figure 5C illustrates a partial cross-sectional schematic view of the supercritical fluid chamber of Figure 5A according to certain embodiments provided herein;
- Figure 5D illustrates a schematic side view of the supercritical fluid chamber of Figure 5C according to certain embodiments provided herein;
- Figure 5E schematically illustrates a supercritical fluid delivery system according to certain embodiments provided herein;
- Figure 6 illustrates a phase change diagram representative of CO 2 ;
- Figure 7 illustrates a cross-sectional view of a plasma chamber according to certain embodiments provided herein.
- Figure 8 illustrates a flow diagram of method steps for cleaning a substrate according to certain embodiments provided herein.
- Embodiments provided herein generally relate to a method and apparatus used to clean a substrate. More specifically, embodiments relate to a method of cleaning a substrate in a manner that reduces or eliminates the line stiction created between semiconductor device features after a wet cleaning process has been performed on the substrate. Other embodiments relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features.
- Figure 1 is a schematic cross-sectional view that illustrates a portion of a semiconductor device 100 in which line stiction has occurred between two features within the semiconductor device 100. As shown, the high aspect ratio device structures are formed on a surface of a substrate.
- device structures 102 should remain in a vertical orientation and walls 106 should not cross the openings 104 and contact adjacent walls 106 of the device structures 102.
- the walls 106 of the device structures 102 are subjected to capillary forces due to the air-liquid interface created by the cleaning liquid disposed within the opening 104, which causes the walls 106 of adjacent device structures 102 to bend towards one another and contact each other.
- Line stiction results from the contact between walls 106 of adjacent device structures 102, ultimately causing closure of the openings 104. Line stiction is generally undesirable because it prevents access to the openings 104 during subsequent substrate processing steps, such as further deposition steps.
- a substrate may be exposed to an aqueous cleaning solution, such as de-ionized water or cleaning chemicals, in a wet clean chamber.
- a aqueous cleaning solution such as de-ionized water or cleaning chemicals
- a wet clean chamber includes a semiconductor substrate having electronic devices disposed or formed thereon.
- the use of the aqueous cleaning solutions on the substrate in a wet clean chamber removes residues left on the substrate after the wet cleaning processes have been performed.
- the wet clean chamber may be a single wafer cleaning chamber and/or a horizontal spinning chamber. Additionally, the wet clean chamber may have a megasonic plate adapted to generate acoustic energy directed onto the non-device side of the substrate.
- the substrate may be transferred to a solvent exchange chamber to displace any previously used aqueous cleaning solutions used in the wet clean chamber.
- the substrate may then be transferred to a supercritical fluid chamber for further cleaning and drying steps to be performed on the substrate.
- drying the substrate may involve the delivery of a supercritical fluid to a surface of the substrate.
- a drying gas may be selected to transition into a supercritical state when subjected to certain pressure and temperature configurations that are achieved or maintained in the supercritical processing chamber.
- a drying gas includes carbon dioxide (CO2).
- CO2 carbon dioxide
- propane propane
- Figure 6 illustrates a phase change diagram for CO2.
- supercritical CO2 is a supercritical gas, it has no surface tension in that its surface tension is similar to a gas, but has densities that are similar to a liquid.
- Figure 6 illustrates that supercritical CO 2 has a critical point at a pressure of about 73.0 atm and a temperature of about 31 .1 °C.
- One unique property of a supercritical fluid, such as CO2 is that condensation will not occur at any pressure above the supercritical pressure and temperatures above the supercritical point (e.g., 31 .1 °C and 73 atm for CO 2 ).
- the region to the right of the critical temperature and above critical pressure defines the supercritical state of the CO2 drying gas.
- the supercritical fluid due to its unique properties, may penetrate substantially all pores or voids in the substrate and remove any remaining liquids or particles that may be present in the openings 104.
- the pressure of the chamber is decreased at a nearly constant temperature, allowing the supercritical fluid to transition directly to a gaseous phase within the openings 104.
- Liquids typically present in the openings 104 prior to supercritical fluid treatment may be displacement solvents from the solvent exchange chamber.
- Particles typically present in the openings 104 may be any solid particulate matter, such as organic species (i.e., carbon), inorganic species (i.e. silicon), and/or metals.
- openings 104 that may be dried by supercritical fluid include voids or pores in a dielectric layer, voids or pores in a low-k dielectric material, and other types of gaps in the substrate that may trap cleaning fluids and particles.
- supercritical drying may prevent line stiction by bypassing the liquid state during phase transition and eliminating capillary forces created between the walls 106 of the device structures 102 due to the due to the negligible surface tension of supercritical fluid, such as supercritical CO2.
- the substrate may then be transferred from the supercritical fluid chamber to a post processing chamber.
- the post processing chamber may be a plasma processing chamber, in which contaminants that may be present on the substrate may be removed. Post processing the substrate may also further release any line stiction present in the device structures.
- the processes described herein are useful for cleaning device structures having high aspect ratios, such as aspect ratios of about 10:1 or greater, 20:1 or greater, or 30:1 or greater. In certain embodiments, the processes described herein are especially useful for cleaning 3D/vertical NAND flash device structures.
- FIG. 2A illustrates a substrate processing apparatus that may be adapted to perform one or more of the steps described above, according to one embodiment of the present invention.
- the processing apparatus 200 comprises a wet clean chamber 201 , a solvent exchange chamber 202, a supercritical fluid chamber 203, a post processing chamber 204, a transfer chamber 206, and a wet robot 208.
- Processing a substrate may include, but is not limited to, forming electrical devices such as transistors, capacitors, or resistors, that are interconnected by metal lines, which are insulated by interlayer dielectrics upon the substrate. These processes may include cleaning the substrate, cleaning films formed on the substrate, drying the substrate, and drying films formed on the substrate.
- the processing apparatus 200 includes an inspection chamber 205, which may include tools (not shown) to inspect substrates that have been processed in the processing apparatus 200.
- the substrate processing apparatus 200 is a cluster tool comprising several substrate processing chambers, such as a wet clean chamber 201 , a solvent exchange chamber 202, a supercritical fluid chamber 203, a post processing chamber 204, and a transfer chamber 206.
- the processing apparatus 200 may also comprise film deposition chambers (not shown) such as a chemical vapor deposition (CVD) chamber, an atomic layer deposition (ALD) chamber and/or a physical vapor deposition (PVD) chamber.
- the chambers may be positioned about the wet robot 208 which may be disposed in the transfer chamber 206.
- the wet robot 208 comprises a motor, a base, an arm, and an end effector 209 configured to transfer substrates between the chambers.
- the wet robot 208 may have multiple arms and multiple end effectors to increase the throughput of the processing apparatus 200.
- the wet robot 208 transfers substrates between the aforementioned chambers.
- at least one of the end effectors of the wet robot 208 is a dedicated dry end effector (e.g., adapted to handle dry wafers) and at least one of the end effectors of the wet robot 208 is a dedicated wet end effector (e.g., adapted to handle wet wafers).
- the dedicated dry end effector may be used to transfer substrates between the supercritical fluid chamber 203 and the post processing chamber 204.
- the processing apparatus 200 also comprises a dry robot 216 disposed in a factory interface 218 which may be coupled to the processing apparatus 200 and a plurality of substrate cassettes 212 and 214, each holding a plurality of substrates to be cleaned or dried, or that have been cleaned or dried.
- the dry robot 216 may be configured to transfer substrates between the cassettes 212 and 214 and the wet clean chamber 201 and post processing chamber 204. In another embodiment, the dry robot 216 may be configured to transfer substrates between the supercritical fluid chamber 203 and the post processing chamber 204.
- the processing chambers within the processing apparatus 200 may be placed on a horizontal platform which houses the substrate transfer chamber 206.
- the processing apparatus 200A may be a linear apparatus comprising several substrate processing chambers such as a wet clean chamber 201 , a solvent exchange chamber 202, a supercritical fluid chamber 203, a post processing chamber 204, and a transfer chamber 206.
- the processing apparatus 200A may be the Raider ® GT available from Applied Materials, Santa Clara, CA, however it is contemplated that other processing apparatuses from other manufacturers may be adapted to perform the embodiments described herein.
- the processing apparatus 200 may also comprise film deposition chambers (not shown) such as a CVD chamber, an ALD chamber and/or a PVD chamber.
- the chambers may be positioned about a robot 208A which may be disposed in the transfer chamber 206.
- the robot 208A comprises a motor, a base, an arm, and end effectors 209A and 209B configured to transfer substrates between the chambers.
- the robot 208A may have multiple arms and multiple end effectors to increase the throughput of the processing apparatus 200A.
- the robot 208A having a dedicated wet end effector 209A, transfers substrates between the aforementioned chambers.
- the processing apparatus 200A may also comprise a factory interface 218 which may be coupled to the processing apparatus 200 and a plurality of substrate cassettes 212 and 214, each holding a plurality of substrates to be cleaned or dried, or that have been cleaned or dried.
- the robot 208A having the dedicated dry end effector 209B transfers substrates between the cassettes 212 and 214 and the wet clean chamber 201 and post processing chamber 204.
- the dedicated dry end effector 209B may be configured to transfer substrates between the supercritical fluid chamber 203 and the post processing chamber 204.
- the chambers within the processing apparatus 200A may be placed on a horizontal platform which houses the substrate transfer chamber 206.
- the robot 208A may travel along a linear track 220. Chambers may be arranged in sequence on one or both sides of the linear track 220. To perform wet substrate transfer, excess liquid may be remove from the substrate, such as by rotating the substrate, while still in the chamber so only a thin wet layer remains on the substrate surface before the robot 208A transfers the substrate. In embodiments providing two or more end effectors on the robot 208A, at least one may be dedicated to wet substrate transfer and the other one may be dedicated to dry substrate transfer. More chambers may be installed in the extendable linear configuration for high-volume production.
- the cleaning and drying processes of the processing apparatus 200 proceed in a sequence timed to optimize throughput by the use of available chamber space and the wet robot 208.
- One possible processing sequence for cleaning and drying substrates that have film(s) formed thereon includes: the dry robot 216 takes an unclean substrate from a substrate cassette 212 or 214 and installs the substrate in the wet clean chamber 201 , the wet robot 208 removes the substrate from the wet clean chamber 201 and installs the substrate in the solvent exchange chamber 202, the wet robot 208 removes the substrate from the solvent exchange chamber 202 and installs the substrate in the supercritical fluid chamber 203, the dry robot 216, or the dedicated dry end effector of the wet robot 208, removes the substrate from the supercritical fluid chamber 203 and places it in the post processing chamber 204, and the dry robot 216 removes the substrate from the post processing chamber 204 and deposits the cleaned and dried substrate into one of the substrate cassettes 212 or 214.
- a substrate may be initially processed in a preprocessing chamber disposed in a processing apparatus (e.g., processing apparatus 200), such as a film deposition chamber (not shown) to form a film or films on the substrate or a film etching chamber that may remove material from the substrate.
- a processing apparatus e.g., processing apparatus 200
- the cassettes 212 and 214 may deliver the substrate to the processing apparatus 200 and the substrate may then be placed in a first processing chamber, such as the the wet clean chamber 201 , by a robot.
- the robot delivers the substrates to the first processing chamber from one of the cassettes 212 and 214.
- the substrate may then be exposed to a cleaning solution to remove contaminants, such as material residues/particles or liquids present on the substrate, in the wet clean chamber 201 .
- the cleaning solution may comprise deionized water, cleaning solvents, or a combination thereof.
- the substrate may be transferred by the wet robot 208 from the wet clean chamber 201 to the solvent exchange chamber 202.
- the previously disposed cleaning solution may be displaced by a solvent by exposing the substrate to a solvent.
- the solvent used to displace the cleaning solution may be a single chemical, which may be in either a liquid or a supercritical phase, or a sequence of various chemicals or mixtures thereof in a liquid or supercritical phase.
- the condition and phase of the chemicals or mixtures for displacement may be determined by the relative solubility, miscibility, and liquid displacement characteristics among the deionized water, solvents, and the chemicals or mixtures thereof chosen.
- the substrate may be exposed to the solvent to displace residual liquid remaining on the substrate.
- the solvent may be provided to a top surface of the substrate in a sufficient amount to displace substantially all of the liquid remaining on the surface of the substrate from the prior processing step.
- the solvent exchange may be performed by a fill and purge process.
- a solvent(s) such as those described above, may be introduced to the solvent exchange chamber 202 and the solvent exchange chamber 202 may be filled with the solvent to at least cover the substrate.
- the chamber may be purged by removing the solvent(s) from the solvent exchange chamber 202.
- suitable solvents for the solvent exchange include acetone, isopropyl alcohol, ethanol, methanol and other non-polar solvents. It is believed that by removing water and exchanging it with a solvent soluble in the supercritical fluid, the subsequent supercritical flushing and drying may be improved due to the prevention of phase separation between the solvent and the supercritical fluid during its removal.
- suitable solvents for the solvent exchange process include A/-Methyl-2-pyrrolidone, /V-Methylformamide, 1 ,3-Dimethyl-2- imidazolidinone, dimethylacetamide, and dimethyl sulfoxide.
- polar solvents such as organic polar solvents, displace water and it is generally desirable that the solvents posses a high dielectric constant (greater than 30) and a low evaporation rate (less than 0.5 relative to n-Butyl Acetate).
- the organic polar solvents may also generally be miscible in water and suppress precipitation of silica particles from the silicon-water reaction.
- the solvent exchange process comprises providing a plurality of solvents to the substrate sequentially to displace the residual remaining liquid on the substrate surface.
- a multiple step solvent exchange process may be performed by delivering a polar solvent and then a non-polar solvent to the surface of the substrate to remove the residual liquid.
- the process sequence includes providing a first solvent that comprises a polar solvent that is configured to displace deionized water from the substrate, and then a second solvent that comprises a non-polar solvent, such as about 90 percent or greater liquid isopropyl alcohol (IPA), which may be utilized at room temperature to displace the polar solvent on the substrate in the solvent exchange chamber 202.
- IPA liquid isopropyl alcohol
- a polar solvent may be effective in helping to remove any residual particles, which is important before providing a non-polar solvent, such as IPA, to the substrate surface.
- a non-polar solvent such as IPA
- Use of a polar and subsequent non- polar solvent is believed to more effectively remove the residual liquid and any residual particles or similar contaminants from the substrate.
- the polar solvent such as an organic polar solvent which has a low solubility in supercritical CO 2
- a non-polar solvent such as IPA
- the subsequent displacement of the non-polar solvent with supercritical fluids may be performed.
- the organic polar solvents comprise A/-Methyl-2-pyrrolidone or /V-Methylformamide, or combinations thereof. Therefore, in one example, the solvent exchange process may comprise displacing the cleaning solution with an organic polar solvent, and then displacing the organic polar solvent with a non-polar organic solvent.
- a phase transition process may be performed to prepare the substrate for subsequent supercritical cleaning and drying processes.
- the phase change process may proceed in one of two manners, or a combination thereof.
- the solvent may be directly displaced with a supercritical fluid, such as pure supercritical CO2 or C3H8.
- pure supercritical fluid may be provided to the supercritical fluid chamber 203. Accordingly, the temperature and pressure maintained in the supercritical fluid chamber 203 may be adjusted to maintain the fluid in a supercritical state.
- a phase transition process may be used to convert the chemicals or chemical mixtures to a supercritical fluid.
- 90 percent or greater liquid IPA may be displaced by liquid CO 2 at about 5-8°C and about 50 bar.
- the about 5-8°C and about 50 bar liquid CO2 described above may be heated to about 40°C and a pressure of about 95 bar in the supercritical fluid chamber 203.
- capillary pressure due to the difference between liquid-gas and liquid-solid surface tension is eliminated. The elimination of capillary pressure prevents bending and interaction of the clean surfaces, which may reduce the probability of stiction from occurring in the high-aspect-ratio features.
- supercritical fluid comprising the same types of chemicals or chemical mixtures as previously described may be formed and introduced to the supercritical fluid chamber 203 to clean and flush away particles and residues remaining on the surface of the substrate in a supercritical flushing process.
- CO 2 may be used to form a supercritical fluid.
- the supercritical CO2 may be formed outside the supercritical fluid chamber 203 and then introduced to the supercritical fluid chamber 203.
- supercritical CO2 at a point above the supercritical point such as about 40°C and about 95 bar, may be formed outside the supercritical fluid chamber 203 and then introduced to the supercritical fluid chamber 203.
- liquid CO2 may be provided to the chamber and subsequently converted to supercritical CO2 by increasing the temperature and pressure in the chamber.
- liquid CO 2 is provided to the supercritical fluid 203 chamber at a first rate, wherein the first rate is configured to avoid disturbing solvent present on the surface of the substrate.
- more liquid CO 2 is provided to the supercritical fluid chamber 203 at a second rate greater than the first rate until the supercritical fluid chamber 203 has been substantially filled with liquid CO2.
- the second rate is configured to create a turbulent flow to encourage interaction between the solvent on the substrate and the liquid CO 2 .
- the supercritical CO 2 exhibits properties intermediate between a gas and a liquid and has the ability to penetrate well into intricate nano-geometries, due to its gas-like transport behaviors, which can be effective in particle and residue removal due to its excellent mass-transfer capabilities commonly associated with flowing liquids.
- the supercritical flushing process may proceed for about 30 seconds to about 60 seconds.
- the supercritical flushing process may be achieved in several different manners.
- the supercritical fluid may be introduced into a volume above the substrate in the chamber 203 and allowed to stagnate.
- pure supercritical CO2 above the surface of the substrate creates a concentration gradient between the volume above the substrate, where pure supercritical CO 2 exists, and the surface of the substrate where solvent, residues, and particles may exist. It is believed that the solvents, residues, and particles are drawn by diffusion from the high-aspect ratio features on the surface of the substrate to the volume of CO2 above the substrate due to the desire of fluids to exist in thermodynamic equilibrium.
- supercritical flushing may be performed by mechanically flowing the supercritical fluid through the chamber 203.
- the supercritical fluid may be delivered with sufficient flow across the surface of the substrate to cause the flowing supercritical fluid to penetrate the high-aspect-ratio features on the surface of the substrate and flush away solvents, residues, and particles that may be present in the high-aspect ratio features.
- the mechanical flow may also work in concert with periods of stagnant fluid (e.g., CO2) present in the chamber 203 to improve the flushing process.
- sequences of periods of mechanical flow across the substrate surface and then periods where the flow is stagnant may be used to improve the supercritical flushing process and reduce the amount of supercritical fluid, such as supercritical CO2, that may need to be reclaimed or exhausted.
- the substrate may be subjected to a supercritical drying process.
- This process may be controlled by adjusting the temperature and pressure within the chamber 203 to ensure a phase transfer of the chemicals or chemical mixtures from a supercritical to a gaseous state without entering a liquid state.
- Figure 6 depicts the possible CO2 phases at relative temperatures and pressures.
- the process ensures the supercritical fluid (area beyond the dashed line) changes to a gaseous state without turning into a liquid, for example by crossing over the line separating the liquid and gaseous phases as shown in Figure 6.
- the fluids expelled from the high- aspect-ratio trenches during the supercritical drying process may exhibit a negligible surface tension due to the characteristics of the supercritical fluid which results in the reduction or elimination of line stiction.
- the supercritical CO 2 at about 40°C and about 95 bar undergoes isothermal depressurization at about 40°C until the pressure drops to about 21 bar.
- the gasses remaining in the supercritical fluid chamber 203 may be vented from the chamber to an exhaust area.
- the substrate may then be transferred from the supercritical fluid chamber 203 by the robot 208 to the post processing chamber 204.
- the substrate may be post processed in the post processing chamber 204 by exposing the substrate to plasma at a low power for final treatment to complete the drying stiction removal process.
- the post processing may un-stick any collapsed trenches and/or passivate the surfaces of the substrate.
- oxygen (O2) or halogen gas plasma may be applied to the substrate by exposing the gas in the chamber to about 75W of RF energy to form a plasma over the surface of the substrate for about 10 seconds.
- the RF plasma formed in the post processing chamber 204 may comprise a fluorocarbon, such as C2F6 or CF .
- the post processing of the substrate may release minor or temporary stictions, if any, caused by local impurities created by the chemicals or chemical mixtures that were used in previous cleaning processes.
- the post processing may further release minor or temporary stictions caused by atomic interactions between the cleaned surfaces of device features, such as atomic orbital overlap, Van der Waal's forces, or the presence of dangling bonds in adjacent device features in high energy states that bond with each other to achieve a more stable, lower energy state.
- the post processing may be most effective if implemented immediately after the supercritical drying process because the impurities or atomic interactions, as described above, have not had time to "set” or become more permanent.
- the post processing implemented immediately after the supercritical drying step may even prevent clean surface atomic interactions, such as dangling bonds from rearranging into lower energy states before the atoms transfer or share electrons to bond in stable, lower energy states.
- any organic contaminations remaining on the substrate may be removed with exposure to biased plasma.
- FIG. 3A illustrates the substrate processing sequence according to one embodiment.
- the processing apparatus 300 comprises multiple chambers, such as a wet clean chamber 301 , a solvent exchange chamber 302, a supercritical fluid chamber 303, and a plasma processing chamber 304 similar to those previously described in conjunction with Figures 2A-2B.
- the substrate processing sequence proceeds through the processing apparatus 300 as subsequently described with reference to the method of Figure 8.
- the processing apparatus of Figure 3 may further comprise a dry robot 316 disposed in a factory interface 310.
- the dry robot 316 may be similar to the wet robot 308 disposed in the transfer chamber 306 of the processing apparatus 300.
- the dry robot 316 may be configured to transfer substrates to and from the cassette(s) to the processing apparatus 300.
- the dry robot 316 may be configured to transfer substrates from the cassette(s) 312 through the factory interface 310 and to the wet clean chamber 301 .
- An end effector of the dry robot 316 may remove an unclean substrate from a cassette and transfers the unclean substrate to the wet clean chamber 301 where the dry robot 316 may deliver the unclean substrate to the interior of the wet clean chamber 301 .
- the dry robot 316 may also configured to transfer substrates from the supercritical fluid chamber 303 to the plasma processing chamber 304 and from the plasma processing chamber 304 through the factory interface 310 to the cassette(s) 312.
- the end effector of the dry robot 316 may remove a clean substrate from the interior of the plasma processing chamber 304 and deliver the clean substrate to a cassette 312. It should be acknowledged that multiple arrangements of the chambers in the processing apparatus 300 may be possible while still practicing the invention.
- Figure 3B illustrates the substrate process flow according to another embodiment.
- the processing apparatus 300 may be similar to the processing apparatus of Figure 3A.
- the wet robot 308 may comprise a plurality of end effectors 320A, 320B, and 322 disposed in the transfer chamber 306, which may transfer substrates between the various processing chambers.
- end effectors 320A and 320B may be dedicated for wet processing transfer steps.
- a substrate may be processed in the wet clean chamber 301 or the solvent exchange chamber 302 and a thin film of liquid may remain on the surface of the substrate to protect the surface of the substrate during transfer and to keep the substrate from drying out, thus reducing any variation in queue time from one substrate to another.
- the wet processing end effectors 320A and 320B may be dedicated to transferring substrates between only two chambers, respectively, to prevent contamination of the substrate during subsequent processing steps.
- Wet processing end effector 320A may transfer substrates from the wet clean chamber 301 to the solvent exchange chamber 302.
- the wet processing end effector 320A travels in a path between the wet clean chamber 301 , where the wet processing end effector 320A may remove a substrate from the wet clean chamber 301 , and the solvent exchange chamber 302, where the wet processing end effector 320A inserts the substrate into the solvent exchange chamber 302.
- the wet processing end effector 320A may return along the same path and repeat the process for each new substrate that has been processed in the wet clean chamber 301 .
- wet processing end effector 320B is similar to wet processing end effector 320A. However, wet processing end effector 320B may transfer substrates from the solvent exchange chamber 302 to the supercritical fluid chamber 303. The wet processing end effector 320B may travel in a path between the solvent exchange chamber 302 and the supercritical fluid chamber 303. During operation, the wet processing end effector 320B may remove a substrate from the solvent exchange chamber 302, transfer the substrate to the supercritical fluid chamber 303, and insert the substrate into the supercritical fluid chamber 303. In one configuration, the wet processing end effector 320B may return along the same path and repeat the process for each new substrate that has been processed in the solvent exchange chamber 302.
- the wet robot further comprises a dry processing end effector 322.
- the dry processing end effector 322 may be dedicated to substrate transfer between the supercritical fluid chamber 303 and the plasma chamber 304.
- the dry processing end effector 322 may travel in a path between the supercritical fluid chamber 303 and the plasma chamber 304.
- the dry processing end effector 322 may remove a substrate from the supercritical fluid chamber 303 and transfer the substrate to the plasma chamber 304, where the dry processing end effector 322 inserts the substrate into the plasma chamber 304.
- the dry processing end effector 322 may return along the same path and repeat the process for each new substrate that has been processes in the supercritical fluid chamber 303.
- Figure 4A illustrates a cross-sectional view of a wet processing chamber according to one embodiment. It is contemplated that the wet processing chamber, depicted in Figure 4A, may be utilized as the wet clean chamber 201 and/or as the solvent exchange chamber 202. In one embodiment, the wet processing chamber
- the 400 may be a single substrate processing chamber.
- the bottom side of the substrate 406 (substrate bottom surface 414) may be exposed to cleaning, rinsing, and drying solutions 412, while the top side of the substrate 406 (substrate top surface 416) may not exposed to any solutions.
- the substrate bottom surface 414 (which could be the substrate non-device side) may be facing down to be exposed to solutions 412.
- both the substrate top surface 416 and the substrate bottom surface 414 may be exposed to one or more cleaning or solvent exchange solutions.
- the chamber 400 includes a rotatable substrate holding bracket (bracket) 448, which translates along an axis of rotation device 449.
- the rotation device 449 may further be coupled to an electronic motor (not shown) which may rotate the bracket 448.
- the chamber 400 also includes an access door (not shown) through which a robot arm (not shown) holding the substrate 406 may enter to place the substrate in the bracket 448.
- the substrate 406, when positioned in the bracket 448 may rest on support clips 410 and a vertical support post included in the bracket 448.
- the bracket 448, together with the support posts, may raise or lower the substrate to a desirable position.
- the bracket 448 may rotate the substrate 406 while solutions are dispensed from below during a cleaning cycle. In another embodiment, the bracket 448 may rotate the substrate 406 while solutions 412 are dispensed from another nozzle on the top and/or the bottom surface of the substrate 406 during a processing cycle, such as a cleaning cycle. In another embodiment, the bracket 448 may rotate the substrate 406 in a horizontal plane during cleaning.
- the chamber 400 also includes a tube 428 connected to a through hole (feed port) 442.
- cleaning fluids or chemicals may be introduced through the tube 428 from a cleaning chemical source 428A.
- the solution 412 may be applied to the substrate bottom surface 414.
- a nozzle located above the substrate 406 may dispense solutions to the top surface 416 of the substrate 406 from a fluid source 416A. The residues and/or liquids present on the substrate 406 that need to be cleaned off are removed as the substrate 406 is rotated at high speed.
- the chamber 400 further includes a filter 41 1 such High Efficiency Particulate Arresting (HEPA) filter or an Ultra Low Penetration Air (ULPA) filter.
- a filter 41 1 such High Efficiency Particulate Arresting (HEPA) filter or an Ultra Low Penetration Air (ULPA) filter.
- HEPA High Efficiency Particulate Arresting
- ULPA Ultra Low Penetration Air
- the chamber 400 may also include other nozzles (not shown) that allow a solution to be delivered to the substrate top surface 416.
- a first group of solutions may be transferred to the substrate bottom surface 414 while solutions from a different source (a second group of solutions) may be transferred to a substrate top surface 416.
- Solutions that can be applied to either surface of the substrate may include water or other cleaning solutions, such as solvents which may comprise acetone, isopropyl alcohol, ethanol, methanol, and may further comprise organic polar solvents such as formic acid, /V-Methyl-2- pyrrolidone, /V-Methylformamide, 1 ,3-Dimethyl-2-imidazolidinone, dimethylacetamide, and dimethyl sulfoxide, or sequences, combinations, and mixtures thereof.
- solvents comprising three or fewer carbon atoms may also be utilized in various embodiments.
- the solvents utilized may be miscible in CO2 and/or may be solvated when contacted by at least liquid CO 2 or supercritical CO 2.
- the chamber may be used to spin dry the substrate 406 after each wet cleaning cycle.
- the rotating device 449 continues to rotate the bracket 448, thus spinning the substrate 406.
- the spinning of the substrate 406 removes the liquids (or reagents) that are used to clean the substrate 406.
- the wet processing chamber 400 may be utilized as a solvent exchange chamber which may introduce a solvent, such as an organic polar solvent and/or a non-polar solvent, through the tube 428 to facilitate solvent exchange within the cleaning fluids trapped in the features formed on the substrate 406.
- FIG. 4B is a schematic illustration of a solvent filtration system 450 according to certain embodiments described herein.
- the solvent filtration system 450 may be utilized in combination with the wet processing chamber
- the solvent filtration system 450 comprises a fluid source 460, a fluid drain 461 , a first tank 462, a second tank 464, a first pump
- the filtration system 450 may include a first recirculation path 470, a second recirculation path 472, and a third recirculation path 474, which illustrate various fluid flow paths through the solvent filtration system 450.
- first recirculation path 470 a second recirculation path 472
- third recirculation path 474 which illustrate various fluid flow paths through the solvent filtration system 450.
- Fluid within the system 450 flows from either of the first tank 462, or the second tank 464, through the first pump 466 and the first filter 468 to the second tank 464, or the first tank 462, respectively.
- fluid present in the chamber 400 flows along path 475B through a first valve 483 through the second recirculation path 472 to the second tank 464.
- Fluid in the second tank 464 flows along path 471 A through a second valve 481 via the first recirculation path 470.
- Fluid flowing along the first recirculation path 470 flows through the first pump 466 and the first filter 468 through a third valve 482 along path 471 B to the first tank 462.
- the fluid then flows from the first tank 462 via the third recirculation path 474 through the second pump 467 and the second filter 469 to a fourth valve 489.
- the fourth valve 489 may direct the fluid along path 477A to the second tank 464 or to the second valve 481 along path 473B.
- Fluid may be recirculated along the first recirculation path 470 and the third recirculation path 474, optionally incorporating the second tank 464, until a contaminant count within the fluid has reached a desirable level.
- fluid may be delivered to the chamber 400 along the first recirculation path 470 through the first pump 466 and the first filter 468 through the third valve 482 along path 473C to the first valve 483 and chamber 400 along path 475A. If desired, the fluid may bypass the chamber 400 by flowing through the first valve 483 along path 473C and return to the second tank 464
- fluid used in the system 450 is recirculated at least two or more times prior to being utilized again in the wet processing chamber 400.
- the second tank 464 will generally receives contaminated fluid and the first tank only ever contains fluid that has been filtered at least once. It is believed that utilizing the system 450 having multiple recirculation paths incorporating multiple tanks, pumps, and filters provides for a substantially linear decay of contaminants within the fluid when compared to single tank, pump, and filter systems which generally exhibit an exponential decay in removing contaminants from fluid. If desired, new fluid may be provided to the system 450 from the source 460 or may be removed from the system 450 by the fluid drain 461 .
- a fluid "cleaning" process may be performed on the fluid contained in the system 450.
- the fluid in the second tank 464 can be "cleaned," such as having the particle concentration contained therein reduced, by transferring the contents of the second tank 464 along paths 471A and 471 B to the first tank 462 and then back to the second tank 464 following paths 473B and 473C.
- the fluid delivered from the second tank 464 is filtered once as it passes through filter 468 before it reaches the first tank 462 and then is filtered a second time when it is transferred through filter 468 a second time before it is delivered back to the second tank 464 (e.g., optional pump 467 and filter 469 are not present in the system 450).
- this process sequence can be completed one or more times until a desired particle concentration is reached in the fluid.
- the fluid can be filtered three times in the process sequence if the original fluid is delivered from the second tank 464 to the first tank 462 following paths 471 A and 471 B, which filters the fluid once as it passes through the filter 468, and then is filtered a second and a third time when the filtered fluid passes through filters 469 and 468, respectively, on its way back to the second tank 464 following paths 473B and 473C.
- the fluid can be filtered twice if the original fluid is delivered from the second tank 464 to the first tank 462 following paths 471 A and 471 B, which filters the fluid once, and then is filtered a second time when it passes through filter 469 to the second tank 464 following path 477A.
- the "cleaned" fluid can be delivered to the processing chamber 400 using the path 475A, as discussed above.
- Figure 5A illustrates a cross-sectional schematic view of a supercritical fluid chamber 500 according to one embodiment.
- Gas in the supercritical fluid chamber 500 may be a substance that is capable of transitioning into a supercritical state under certain appropriate conditions (e.g. pressure and temperature) to become a supercritical fluid.
- the supercritical fluids used in the supercritical fluid chamber 500 may be a substance that possesses liquid-like solvating properties and gas-like diffusion and viscosity, which enables the supercritical fluid to rapidly penetrate into voids, pores, gaps, crevices, or openings, and completely remove or dissolve any liquids, residues, or contaminants.
- One example of such a gas is CO2.
- Another example of such a gas is C3H8.
- CO2 is the most commonly used due to its inert, non-toxic, non-flammable characteristics and its abundance in nature.
- Appropriate conditions to transform CO2 into supercritical fluid CO2 include a pressure of about 1200 psi or greater and a temperature of about 31 °C or greater, as shown in the CO2 phase change diagram of Figure 6.
- Appropriate conditions to transform C 3 H 8 into supercritical fluid C 3 H 8 include a pressure of about 620 psi (lbs/in 2 ) or greater and a temperature of about 100°C or greater.
- the supercritical fluid chamber 500 may be configured to expose supercritical fluid to a substrate W disposed within the processing volume 505 of the supercritical fluid chamber 500.
- the supercritical fluid may be formed in the supercritical fluid chamber 500 or it may be formed outside the supercritical fluid chamber 500 and delivered into the supercritical fluid chamber 500.
- the chamber 500 may be disposed on and coupled to a transfer chamber 206.
- the chamber 500 comprises a chamber body 501 which defines a processing volume 505.
- the chamber 500 may be made from stainless steel or other suitable structural materials that enable the chamber 500 to withstand an operating temperature and pressure sufficient to maintain a gas in its supercritical state.
- surfaces 504 of the chamber body 501 disposed adjacent to and defining the processing volume 505 may be electrochemically polished to form a surface that has a low surface roughness (e.g., smooth surface).
- the surfaces 504 may be coated with a material, such as Teflon® coating or chromium.
- a substrate support 510 may be disposed in the processing volume 505 and coupled to the chamber body 501.
- the substrate support 510 further comprises a support surface 51 OA configured to receive the substrate W, such as a semiconductor substrate W.
- the support surface 51 OA may also be configured to actively restrain the substrate W after the substrate W has been placed on the support surface 51 OA.
- the substrate support 510 may utilize electrostatic or vacuum chucking, an edge ring, or retaining pins or the like to prevent the substrate W from moving on the support surface 51 OA during processing.
- the substrate support 510 may comprise a plurality of support pins (not shown).
- the substrate support 510 may be configured to rotate the semiconductor substrate W during processing.
- the processing volume 505 comprises a small volume to reduce the amount of supercritical fluid necessary to fill the enclosure.
- the chamber 500 further comprises a slit valve door 502 comprising one or more O-rings 540 coupled to the slit valve door 502.
- the O-rings 540 may be formed from an elastomeric material, such as rubber or silicone.
- a slit valve opening 503 provides access for a wet robot 208 disposed in the transfer chamber 206 to transfer and receive substrates from the processing volume 505 along the substrate transfer path 545.
- a solvent dispensing apparatus 592 may be disposed near the slit valve opening 503.
- the solvent dispensing apparatus 592 such as a spray bar, is configured to deliver a liquid to the substrate W prior to entry into the chamber 500 through the slit valve opening 503.
- the solvent dispensing apparatus 592 may be coupled to the chamber body 501 or to the transfer chamber 206.
- a solvent source 590 is coupled to the solvent dispensing apparatus 592 and configured to provide a liquid solvent, such as IPA, for delivery to a top surface of the substrate W via the solvent dispensing apparatus 592.
- the solvent dispensing apparatus 592 is configured to deliver a small amount of solvent to the substrate W such that a layer of solvent completely covers the top surface of the substrate W.
- the additional solvent may be provided to the substrate W after the substrate is inside the chamber 500.
- the chamber 500 may further comprise one or more heating elements 550 configured to heat the chamber 500 during the formation of supercritical CO2 and/or during depressurization of the chamber 500.
- the heating elements 550 may be disposed proximate to or inside the processing volume 505 of the chamber body 501 .
- the heating elements 550 may comprise resistive heating elements, fluid channels that are configured to receive a heat control fluid, and/or other similar heating devices.
- the heating elements 550 may heat the fluid or gas in the processing volume 505 to a desired temperature.
- the chamber 500 may include one or more acoustic or sonic transducers 552, such as piezoelectric transducers (e.g., ultrasonic crystals), coupled to the surfaces 504 of the chamber body 501 in the processing volume 505 or embedded within the chamber body 501 to create acoustic or sonic waves for cleaning the chamber 500.
- the transducers 552 may be disposed outside the chamber body 501 and be positioned to direct the sonic energy to the processing volume 505 of the chamber body 501 .
- the transducers 552 may be coupled to a power source 554 adapted to provide sufficient power for performing an ultrasonic cleaning process.
- the transducers 552 may also direct waves towards the substrate W to agitate the supercritical fluid within the chamber 500 during supercritical
- a supercritical fluid delivery system 520 comprises a first fluid delivery line 524 coupled to a fluid source 555, such as a CO2 supply or a C3H8 supply, and a first fluid inlet 512 formed in the chamber 500.
- a pump 522 may be coupled to the first fluid delivery line 524 between the first fluid inlet port 512 and the fluid source 555 for delivering the pressurized fluid from the fluid source 555 into the processing volume 505 of the chamber 500.
- an inlet valve 523 may be disposed on the first fluid delivery line 524 between the pump 522 and the first fluid inlet port 512 to control the flow of supercritical fluid to the processing volume 505.
- FIG. 5E schematically illustrates a supercritical fluid delivery system 521 according to another embodiment described herein.
- the supercritical fluid delivery system 521 comprises the fluid source 555, the pump 522, a heating element 531 , a filter 530, and a condenser 535.
- the fluid delivery system 521 filters fluid in a supercritical state, but then delivers the fluid to the chamber 500 as a liquid.
- an amount of fluid such as liquid CO2
- the fluid is then heated by the heating element 531 to transform the liquid into its supercritical state.
- the supercritical fluid is then passed through the filter, which may be a high pressure gas filter, to purify the supercritical fluid.
- the supercritical fluid is then condensed by the condenser 535 to return the supercritical fluid back to a liquid, which is then provided to the chamber 500.
- the condenser 535 may be optional to allow the supercritical fluid to be provided directly to the chamber in its supercritical state.
- the chamber 500 may further comprise an optional loop 519 for re-circulating fluid to and from the chamber 500.
- the loop 519 may further include a filter (not shown), such as an activated charcoal filter, to purify the fluid.
- the loop 519 helps produce a flow of the supercritical fluid within the processing volume 505, such as a laminar flow, to help prevent stagnation of the supercritical fluid bath.
- a fluid outlet 513 may be coupled to the chamber 500 for removal of the supercritical fluid from the processing volume 505.
- the fluid outlet 513 may release the supercritical fluid to atmosphere, may direct the used supercritical fluid to exhaust 527A and storage, or may recycle the supercritical fluid for re-use (loop 519).
- the fluid outlet 513 may be coupled to the pump 522 by a fluid return line 525 and a pump return line 526.
- An exhaust valve 528 couples the fluid return line 525 and the pump return line 526. The exhaust valve 528 directs the supercritical fluid or gas in the fluid return line 525 to either exhaust 527 (or storage) or to the pump 522 to recycle the supercritical fluid for re-use.
- a condenser (not shown) may be coupled between the fluid outlet 513 and the fluid source 555 to condense the contaminants in the fluid prior to being directed to the fluid source 555.
- the first fluid inlet port 512 and fluid outlet 513 may be disposed through a bottom wall of the chamber body 501 . However, it is contemplated that the first fluid inlet port 512 and the fluid outlet 513 may be disposed at other areas through the walls of the chamber body 501 , such as through a top wall of the chamber body 501 .
- the first fluid inlet port 512 may be adapted to receive a gas, such as CO 2 .
- the first fluid inlet port 512 may be coupled to nozzles, showerheads, or other fluid delivery devices to direct the fluid towards the substrate.
- the chamber 500 may comprise a purge gas source 560.
- the purge gas source 560 may be coupled to a second fluid inlet port 562 via a second fluid delivery line 564.
- the purge gas source 560 may be adapted to provide a purge gas, such as pure nitrogen (N 2 ), argon (Ar), helium (He), or other high purity gases to the processing volume 505.
- the chamber 500 may further comprise a pressurization apparatus 570, such as a compressor, adapted to pressurize the processing volume 505 of the chamber 500.
- the pressurization apparatus 570 may be coupled to the chamber through a pressure valve 572.
- the pressurization apparatus 570 may pressurize a gas, such as the purge gas, after the gas has been provided to the processing volume 505.
- the pressurization apparatus 570 may be coupled to the purge gas source 560 and may be adapted to pressurize the purge gas prior to delivery to the chamber 500.
- the pressurization apparatus 570 may pressurize a purge gas in the chamber 500 to between about 1 100 psi and about 2000 psi prior to introduction of the supercritical fluid to the processing volume 505.
- the chamber 500 may further comprise a cleaning fluid source 580.
- the cleaning fluid source 580 may be adapted to provide one or more cleaning liquids, or mixtures thereof, to the chamber 500. Although shown as being coupled to the second fluid delivery line 564, the cleaning fluid source 580 may be coupled to the chamber 500 at any convenient location to lessen the complexity of any associated plumbing.
- a fluid supplied by the cleaning fluid source 580 comprises water or /V-Methylformamide.
- the fluid supplied by the cleaning fluid source 580 comprises acetone ((CH 3 ) 2 CO).
- An in-situ cleaning process may be performed on the chamber 500 by providing a cleaning fluid, such as water or /V-Methylformamide, to the chamber 500 and activating the transducers 552 to ultrasonically agitate the chamber surfaces 504, other chamber components and fluid within the processing volume 505.
- the cleaning fluid may be provided in a liquid form with a turbulent flow configured to contact all the chamber surfaces 504 and components of the chamber 500.
- the second fluid inlet port 562 may be angled or configured to provide a turbulent flow within the chamber 500.
- the chamber 500 may be flushed with a solvent, such as acetone, to displace the water or /V-Methylformamide used during the ultrasonic agitation.
- a purge gas such as N 2
- N 2 may be provided from the purge gas source 560 to the chamber to further dry the chamber 500 interior.
- the N 2 may be exhausted from the chamber 500 when the chamber 500 has been sufficiently dried.
- Heat may also be provided to the chamber 500 by the heating element 550 during or after the N 2 purge to further assist in drying the chamber 500.
- the in-situ cleaning process may be performed at atmospheric pressure. The in-situ cleaning process may be performed as needed to ensure optimal performance of the chamber 500.
- the above embodiments describe a supercritical fluid chamber providing supercritical fluid that may be formed inside the processing volume 505 after a non- supercritical fluid is supplied to the chamber 500.
- the supercritical fluid delivery system may further comprise a phase transition apparatus 521 .
- the phase transition apparatus 521 may be disposed on the first fluid delivery line 524 between the pump 522 and the first fluid inlet port 512.
- a filter 530 may be disposed on the first fluid delivery line 524 between the first fluid inlet port 512 and the phase transition apparatus 521 .
- the filter 530 may be capable of operating under pressures of about 3000 psi, or below, to filter the supercritical fluid before it enters the chamber 500 and to remove impurities that may be present in the supercritical fluid.
- the filter 530 may include a filter medium that has a pore size of about 3 nanometers (nm), and may be formed from an aluminum oxide (AI2O3) material.
- the phase transition apparatus 521 comprises a processing region 533, a compression device 532, and a heating element 531 .
- the pump 522 supplies CO 2 gas from the fluid source 555 to the processing region 533 of the phase transition apparatus 521 .
- the CO2 gas may be pressurized by the compression device 532 and/or heated by the heating element 531 to predetermined levels to create a supercritical fluid therein.
- C 3 H 8 may be heated to about 100°C and pressurized to about 620 psi (43 bar).
- the resulting supercritical CO2 or C3H8 may then be delivered through the first fluid delivery line 524 to the chamber 500 through the first fluid inlet port 512.
- a depressurization process occurs in the chamber.
- isothermal depressurization of the chamber 500 requires the chamber 500 remain at a desired temperature, such as about 40°C, while the pressure is reduced to a desired pressure, such as about 21 bar, before the gases remaining in the chamber 500 are vented from the chamber 500.
- the depressurization process proceeds at a rate of about 200 psi/min until the pressure within the chamber is about 400 psi. When the pressure is at about 400 psi, a greater depressurization rate may be utilized to further depressurize the chamber 500.
- the controlled depressurization process is performed in an isothermal environment which requires energy input from the heating element 550 to maintain a desired temperature during depressurization of the chamber.
- the chamber 500 pressure is reduced by releasing supercritical fluid and/or gases through the fluid outlet 513 to the exhaust 527 or tank (not shown) formed within the pump 522.
- the gas removed from the chamber 500 to exhaust 527A travels through the fluid return line 525 to the exhaust valve 528 which may be selected to exhaust 527A or return the gas to the pump 522 through the pump return line 526.
- the substrate W may be optionally heated during venting to prevent cooling of the substrate and to prevent moisture uptake or deposition.
- FIG. 5B illustrates a schematic side view of the supercritical fluid chamber 500 of Figure 5A according to one embodiment.
- the slit valve door 502 may be coupled to a shaft 542 which may be coupled to a linear actuator 541 .
- two shafts 542 may be coupled to the slit valve door 502, the first shaft may be coupled to a linear actuator 541 and the second shaft may be coupled to a linear bearing 543.
- the linear actuator may comprise a motor or air cylinder that may be coupled to a fluid source (not shown), such as a liquid or a gas source, to extend and retract the shaft 542 to position the slit valve door 502 to seal and unseal the slit valve opening 503.
- the slit valve door 502 may be closed when the linear actuator 541 retracts the shaft 542 and compresses the O-rings (not shown) coupled to the slit valve door 502 against a sidewall of the chamber body 501 .
- the slit valve door 502 compresses the O-rings with sufficient force against a sidewall of the chamber body 501 to form an airtight seal to withstand the pressure created inside the supercritical fluid chamber 500 during processing.
- FIG. 5C illustrates a partial cross-sectional view of the supercritical fluid chamber 500 of Figure 5A.
- a slit valve door 502 comprising one or more O-rings 540 may open and close the slit valve 503 along the substrate transfer path.
- the slit valve door 502 may be disposed outside of the processing volume 505 and may contact an exterior surface of the chamber body 501 .
- the volume of the processing volume 505 may be reduced.
- a reduction in the processing volume 505 may provide greater control over the temperature and pressure, and reduce the amount of energy required to maintain the processing volume 505 under conditions necessary for supercritical processing.
- FIG. 5B illustrates a schematic side view of the supercritical fluid chamber 500 of Figure 5C according to one embodiment.
- the slit valve door 502 may be coupled to a shaft 542, which may be coupled to a linear actuator 541 .
- two shafts 542 may be coupled to the slit valve door 502, the first shaft may be coupled to a linear actuator 541 and the second shaft may be coupled to a linear bearing 543.
- the linear actuator may comprise a motor or air cylinder that may be coupled to a fluid source (not shown), such as a liquid or a gas source, to extend and retract the shaft 542 to position the slit valve door 502 to seal and unseal the slit valve opening 503.
- the slit valve door 502 may be closed when the linear actuator 541 retracts the shaft 542 and compresses the O-rings (not shown) coupled to the slit valve door 502 against an exterior of the chamber body 501 .
- the slit valve door 502 compresses the O-rings with sufficient force against the exterior of the chamber body 501 to form an airtight seal to withstand the pressure created inside the supercritical fluid chamber 500 during processing.
- the slit valve door 502 may be disposed outside the processing volume 505 which may further reduce the volume of the processing volume 505 necessary for the chamber 500 to perform supercritical fluid processing. Further, the slit valve door 502 disposed outside the processing volume 505 may reduce the potential for particle generation within the chamber 500.
- Figure 7 illustrates a cross-sectional view of a plasma chamber according to one embodiment. More specifically, Figure 7 provides a plasma generation chamber 700.
- the chamber 700 generally includes walls 702 and a bottom 704 which encloses a process volume 706.
- a gas distribution plate 710 and substrate support assembly 730 may be disposed in the process volume 706.
- the process volume 706 may be accessed through a slit valve opening 708 formed through the wall 702 which enables a substrate 740 to be transferred in and out of the chamber 700.
- the chamber 700 may also be adapted to irradiate the substrate with electromagnetic energy, such as irradiate the substrate with light containing one or more of the ultraviolet wavelengths.
- the substrate support assembly 730 includes a substrate receiving surface 732 for supporting the substrate 740 thereon.
- a stem 734 couples the support assembly 730 to a lift system 736, which raises and lowers the substrate support assembly 730 between substrate transfer and processing positions.
- a shadow frame 733 may be optionally placed over a periphery of the substrate 740 when processing to prevent deposition on the edge of the substrate 740.
- Lift pins 738 may be moveably disposed through the substrate support assembly 730 and may be configured to space the substrate 740 from the substrate receiving surface 732 to facilitate exchange of the substrate with a robot blade.
- the substrate support assembly 730 may also include heating and or cooling elements 739 utilized to maintain the substrate support assembly 730 at a desired temperature.
- the gas distribution plate 710 may be coupled to a backing plate 712 and its periphery by a suspension 714.
- the gas distribution plate 710 may also be coupled to the backing plate 712 by one or more center supports 716 to help prevent and/or control the straightness/curvature of the gas distribution plate 710.
- the gas distribution plate 710 may be in different configurations with different dimensions.
- the distribution plate 710 may comprise a plurality of perforations 71 1 disposed between the upper surface 798 and lower surface 750 of the distribution plate 710.
- a gas source 720 may be coupled to the backing plate 712 to provide gas to a plenum defined between the gas distribution plate 710 and backing plate 712. The gas from the source 720 flows from the perforations 71 1 formed in the gas distribution plate 710 to the process volume 706.
- a vacuum pump 709 may be coupled to the chamber 700 to maintain the process volume 706 at a desired pressure.
- An RF power source 722 may be coupled to the backing plate 712 and/or to the gas distribution plate 710 to provide an RF power to create an electric field between the gas distribution plate 710 and the substrate support assembly 730 so that a plasma may be generated from the gases present between the gas distribution plate 710 and the substrate support assembly 730.
- Various frequencies such as a frequency of 13.56 MHz, may be used to form the plasma in the process volume 706.
- O 2 plasma may be applied to the substrate 740 at about 75W for about 10 seconds.
- the additional plasma treatment may be capable of releasing minor or temporary stictions in the high-aspect ratio trenches. It is believed that the O 2 plasma is particularly useful for removing organic impurities that may be present in the trenches.
- a remote plasma source 724 such as an inductively coupled remote plasma source, may also be coupled between the gas source 720 and the backing plate 712.
- the RPS 724 may be used to from a plasma in the processing volume 706.
- the plasma travels through the processing volume 706 to a top surface 718 of the substrate 740.
- the plasma processes the substrate 740 by removing stictions that may be present between the device features.
- an electromagnetic radiation source 760 such as an ultraviolet light source, may be coupled to the chamber 700.
- the electromagnetic radiation source 760 may be coupled a power source (not shown) and may be positioned at any convenient location either inside the chamber 700 or outside the chamber 700.
- the electromagnetic radiation source 760 is positioned outside the chamber 700 so that it can transmit the generated electromagnetic energy through a window (not shown), which may be coupled to a portion of a wall 702 of the chamber 700, to the substrate's surface.
- the electromagnetic radiation source 760 may be positioned to irradiate the top surface 718 of the substrate 740.
- the electromagnetic radiation source 760 may be adapted to provide ultraviolet light to the substrate 740 having a wavelength between about 50 nm and about 500 nm.
- FIG. 8 illustrates a process flow diagram containing method steps for cleaning a substrate according to one embodiment.
- a substrate may be processed first in a film deposition or etching chamber to form a film or features on the substrate.
- the method 800 begins at operation 810 where a substrate may be placed in a wet clean chamber.
- the substrate may be provided to the wet clean chamber by a dry robot which removes the substrate to be cleaned from a cassette.
- the substrate may be exposed to a cleaning solution to remove residues or liquids present on the substrate.
- the cleaning solution may comprise deionized water, solvents, or a combination thereof.
- the substrate may be transferred by a wet robot to a solvent exchange chamber.
- the previously applied cleaning solution may be displaced by a solvent by exposing the substrate to a solvent delivered to the substrate that is disposed in the solvent exchange chamber.
- the solvent used to displace the cleaning solution may be a chemical, which may be in either a liquid or supercritical phase, or a sequence of chemicals or mixtures thereof in a liquid or supercritical phase.
- the condition and phase of the chemicals or mixtures for displacement of the residual material on the substrate may be determined by the relative solubility and miscibility among the cleaning solution constituents (e.g., deionized water), solvents, and the chemicals or mixtures thereof chosen.
- the solvents may be selected from the group consisting of acetone, isopropyl alcohol, ethanol, methanol, A/-Methyl-2-pyrrolidone, N- Methylformamide, 1 ,3-Dimethyl-2-imidazolidinone, dimethylacetamide, and dimethyl sulfoxide or combinations and/or sequences thereof.
- an organic polar solvent may be provided to the surface of the substrate in a sufficient amount to displace the cleaning solution remaining on the surface of the substrate.
- a non-polar solvent may be provided to displace the organic polar solvent.
- the organic polar solvent is selected from N- Methyl-2-pyrrolidone and/or /V-Methylformamide and the non-polar solvent is selected from acetone and/or IPA.
- the solvent exchange may be performed by a fill and purge process by providing the solvent to fill the chamber and after the solvent exchange has been performed on the substrate for a desired amount of time, purging the solvent from the solvent exchange chamber.
- suitable solvents for the solvent exchange include A/-Methyl-2-pyrrolidone, /V-Methylformamide, 1 ,3-Dimethyl-2- imidazolidinone, dimethylacetamide, and dimethyl sulfoxide.
- organic polar solvents may be used to displace water, and the desirable solvents will generally have a high dielectric constant (greater than 30) and a low evaporation rate (less than 0.5 relative to n-Butyl Acetate).
- the organic polar solvents are also generally miscible in water and suppress precipitation of silica from the silicon-water interaction.
- the organic polar solvents may be combined with dissolved O 2 gas during the solvent exchange to enhance particle removal.
- the organic polar solvents comprise A/-Methyl-2-pyrrolidone or N- Methylformamide, or combinations thereof.
- a phase transition process may be used to convert the chemicals or chemical mixtures to a supercritical fluid.
- 90 percent or greater liquid IPA used to displace the organic polar solvent may be displaced by liquid CO2 at about 5-8°C and about 50 bar.
- the about 5-8°C and about 50 bar liquid CO 2 described above may be heated to about 40°C and about 95 bar in the solvent exchange chamber.
- the substrate is then transferred from the solvent exchange chamber by the wet robot to the supercritical fluid chamber.
- a pressurized purge gas process may be performed in the supercritical fluid chamber.
- a purge gas such as pure N 2 or Ar may be provided to the supercritical fluid chamber which may be pressurized.
- the supercritical fluid chamber may be pressurized to between about 1 100 psi and about 2000 psi.
- supercritical fluid comprising the same types of chemicals or chemical mixtures, as previously described above, is formed and introduced to the supercritical fluid chamber to clean and flush away particles and residues remaining on the surface of the substrate in a supercritical flushing process.
- the purge gas which may be present in the supercritical fluid chamber if optional operation 860 has been performed, may be exhausted as the supercritical fluid is provided to the supercritical fluid chamber.
- an inconsequential change in pressure in the supercritical fluid chamber between the purge gas process and the supercritical flushing process may be achieved. Maintaining substantially the same pressure in the supercritical fluid chamber between operation 860 and operation 870 may prevent the formation of particles in the supercritical fluid chamber.
- the pressure of the supercritical fluid chamber in optional operation 860 may be selected to match the pressure required to maintain a supercritical fluid within the chamber in operation 870.
- CO 2 may be used to form a supercritical fluid used during operation 870.
- C3H8 may be used to form a supercritical fluid.
- the supercritical CO 2 or C3H8 may be formed in the supercritical fluid chamber or may be formed outside the supercritical fluid chamber and then introduced to the supercritical fluid chamber.
- supercritical CO 2 at about 40°C and about 95 bar is formed outside the supercritical fluid chamber and then introduced to the supercritical fluid chamber so that it maintains its supercritical fluid properties.
- 620 psi (43 bar) is formed outside the supercritical fluid chamber and then introduced to the supercritical fluid chamber so that it maintains its supercritical fluid properties.
- the supercritical fluid remains a supercritical fluid throughout the process of introducing it into the supercritical chamber.
- the supercritical fluid is a supercritical fluid part of the way into the introduction process or only at the end of the introduction process.
- a phase transition process may be used to convert the chemicals or chemical mixtures to a supercritical fluid.
- 90 percent or greater liquid IPA used to displace the organic polar solvent may be displaced by liquid CO2 at about 5-8°C and about 50 bar.
- the about 5-8°C and about 50 bar liquid CO 2 described above may be heated to about 40°C and about 95 bar in the supercritical fluid chamber.
- capillary pressure due to the difference between liquid-gas and liquid-solid surface tension is eliminated.
- C 3 H 8 may be used to convert the chemicals or chemical mixtures to a supercritical fluid.
- the supercritical fluid exhibits properties intermediate between a gas and a liquid and generally has the ability to penetrate well into intricate nano-geometries due to gas-like transport behaviors and is effective in particle and residue removal, due to its excellent mass-transfer capabilities commonly associated with liquids.
- the supercritical flushing process may proceed in several manners, both of which remove contaminants from the device features.
- a first manner of contaminant removal involved the supercritical fluid physically removing the contaminants from the device features by mechanical action, such as physical interaction between the supercritical fluid and the contaminants.
- the supercritical fluid penetrates the spaces (high-aspect ratio structures, vias, voids, pores, etc.) in the device structure and provides a fluid flow that flushes away contaminants, such as solvents, residues, and particles, from the device structures.
- the substrate is subjected to a supercritical drying process.
- the process may be controlled to ensure a phase transfer of the chemicals or chemical mixtures from a supercritical to gaseous state without crossing over the liquid region.
- Figure 6 shows the phase change of CO2.
- the process ensures the supercritical fluid (area beyond the dashed line) changes to a gaseous state without crossing over the line separating the liquid and gaseous phases.
- the fluids expelled from the high- aspect-ratio trenches during the supercritical drying process exhibit a negligible surface tension which results in the reduction or elimination of line stiction.
- the supercritical CO 2 at about 40°C and about 95 bar undergoes isothermal depressurization at about 40°C until the pressure drops to about 21 bar.
- the supercritical C3H8 at about 100°C and about 620 psi (43 bar) undergoes isothermal depressurization at about 100°C until the pressure drops to about 20 bar.
- the gasses remaining in the supercritical fluid chamber are vented from the chamber.
- the gasses vented from the chamber carry with them the particles and residues taken from the high-aspect-ratio trenches and other surfaces of the substrate.
- the substrate is transferred from the supercritical fluid chamber by the wet robot to the post processing chamber.
- the substrate is post processed by exposing the substrate to a plasma at a low power for final treatment to complete the drying process.
- an RF oxygen (O 2 ) plasma may be applied to the substrate at an RF power of about 75W and frequency of 13.56 MHz for about 10 seconds.
- the post processing of the substrate releases minor or temporary stiction, if any, caused by local impurities created by the chemicals or chemical mixtures that were used in previous cleaning processes. The post processing is most effective if implemented immediately after the supercritical drying process.
- organic contaminations remaining on the substrate may be removed with biased plasma. It is also believed that deposition or formation of a passivation layer on the surface of the substrate may reduce the probability of stiction between high-aspect ratio features present on the surface of the substrate.
- step 890 may comprise post processing the substrate by exposing the substrate to one or more wavelengths of electromagnetic energy, such as ultraviolet (UV) light.
- UV treatment may be selected based upon the materials present on the substrate.
- the substrate may be exposed to UV light for an amount of time suitable to release any remaining minor or temporary stiction, if any, caused by local impurities created by the chemicals or chemical mixtures that were used in previous cleaning processes.
- the substrate may be exposed to UV light having a wavelength between about 50 nm and about 500 nm, such as between about 150 nm and about 350 nm, such as between about 172 nm and about 300 nm.
- step 890 may comprise a combination of plasma and UV treatments to post process the substrate and remove and remaining stiction or organic contamination that may be present on the substrate.
- the aforementioned invention provides an apparatus that increases throughput of substrates during a supercritical cleaning and drying process.
- the Utilizing a carousel configuration and multiple robots increases the processing apparatus' efficiency which should reduce the cost of supercritical cleaning and drying of substrates.
- utilizing displacement, phase transition, supercritical flushing and drying, and plasma post processing in combination with each other provides the elimination of line stiction after wet cleaning, especially for substrates with high-aspect-ratio trenches.
Landscapes
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Chemical & Material Sciences (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Weting (AREA)
Priority Applications (5)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020207027347A KR102284839B1 (ko) | 2012-11-26 | 2013-11-21 | 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 |
| KR1020217023921A KR102397871B1 (ko) | 2012-11-26 | 2013-11-21 | 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 |
| JP2015544135A JP6408477B2 (ja) | 2012-11-26 | 2013-11-21 | 高アスペクト比半導体デバイス構造のための、汚染物質除去を伴うスティクションフリー乾燥処理 |
| CN201380057383.XA CN104919574B (zh) | 2012-11-26 | 2013-11-21 | 用于高深宽比半导体器件结构的具有污染物去除的无黏附干燥工艺 |
| KR1020157016291A KR102161253B1 (ko) | 2012-11-26 | 2013-11-21 | 고 종횡비 반도체 디바이스 구조들에 대한 오염물 제거를 갖는 무-스틱션 건조 프로세스 |
Applications Claiming Priority (6)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US201261729965P | 2012-11-26 | 2012-11-26 | |
| US61/729,965 | 2012-11-26 | ||
| US201361841779P | 2013-07-01 | 2013-07-01 | |
| US61/841,779 | 2013-07-01 | ||
| US14/078,373 | 2013-11-12 | ||
| US14/078,373 US10354892B2 (en) | 2012-11-26 | 2013-11-12 | Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| WO2014081966A1 true WO2014081966A1 (en) | 2014-05-30 |
Family
ID=50772189
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| PCT/US2013/071314 Ceased WO2014081966A1 (en) | 2012-11-26 | 2013-11-21 | Stiction-free drying process with contaminant removal for high-aspect-ratio semiconductor device structures |
Country Status (6)
| Country | Link |
|---|---|
| US (3) | US10354892B2 (enExample) |
| JP (3) | JP6408477B2 (enExample) |
| KR (3) | KR102284839B1 (enExample) |
| CN (2) | CN104919574B (enExample) |
| TW (3) | TWI627667B (enExample) |
| WO (1) | WO2014081966A1 (enExample) |
Cited By (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2016181577A (ja) * | 2015-03-24 | 2016-10-13 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
| CN108140547A (zh) * | 2015-09-30 | 2018-06-08 | 东京毅力科创株式会社 | 使用液体二氧化碳干燥半导体基底的方法和设备 |
Families Citing this family (75)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR101874901B1 (ko) * | 2011-12-07 | 2018-07-06 | 삼성전자주식회사 | 기판 건조 장치 및 방법 |
| TWI627667B (zh) | 2012-11-26 | 2018-06-21 | 應用材料股份有限公司 | 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 |
| JP5835195B2 (ja) * | 2012-11-29 | 2015-12-24 | 東京エレクトロン株式会社 | 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法 |
| US10283344B2 (en) | 2014-07-11 | 2019-05-07 | Applied Materials, Inc. | Supercritical carbon dioxide process for low-k thin films |
| JP6461749B2 (ja) * | 2015-08-26 | 2019-01-30 | 東芝メモリ株式会社 | 基板処理方法および基板処理装置 |
| KR102440321B1 (ko) * | 2015-09-04 | 2022-09-06 | 삼성전자주식회사 | 기판 처리 방법 |
| JP6498573B2 (ja) * | 2015-09-15 | 2019-04-10 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
| KR102189211B1 (ko) * | 2015-10-04 | 2020-12-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 작은 열 질량의 가압 챔버 |
| CN108140549B (zh) * | 2015-10-04 | 2022-12-20 | 应用材料公司 | 缩减空间的处理腔室 |
| JP6556945B2 (ja) | 2015-10-04 | 2019-08-07 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板支持とバッフルの装置 |
| KR102054605B1 (ko) * | 2015-10-04 | 2019-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 피처들을 위한 건조 프로세스 |
| US10192751B2 (en) * | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
| JP6559087B2 (ja) * | 2016-03-31 | 2019-08-14 | 東京エレクトロン株式会社 | 基板処理装置 |
| KR102008566B1 (ko) * | 2016-05-24 | 2019-08-07 | 가부시키가이샤 스크린 홀딩스 | 기판 처리 장치 및 기판 처리 방법 |
| JP6736989B2 (ja) * | 2016-06-07 | 2020-08-05 | 東京エレクトロン株式会社 | 処理液供給装置、機器ユニット、処理液供給方法及び記憶媒体 |
| KR20180006716A (ko) * | 2016-07-11 | 2018-01-19 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
| TWI767920B (zh) * | 2016-07-15 | 2022-06-21 | 美商應用材料股份有限公司 | 乾燥高深寬比特徵 |
| US10971354B2 (en) * | 2016-07-15 | 2021-04-06 | Applied Materials, Inc. | Drying high aspect ratio features |
| JP6708963B2 (ja) * | 2016-08-04 | 2020-06-10 | 日産自動車株式会社 | 半導体コンデンサの製造方法 |
| EP3282474B1 (en) * | 2016-08-11 | 2021-08-04 | IMEC vzw | Method for performing a wet treatment of a substrate |
| US10546762B2 (en) * | 2016-11-18 | 2020-01-28 | Applied Materials, Inc. | Drying high aspect ratio features |
| JP6876417B2 (ja) * | 2016-12-02 | 2021-05-26 | 東京エレクトロン株式会社 | 基板処理装置の洗浄方法および基板処理装置の洗浄システム |
| US10224224B2 (en) * | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
| JP6836939B2 (ja) * | 2017-03-14 | 2021-03-03 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
| KR102030056B1 (ko) * | 2017-05-02 | 2019-11-11 | 세메스 주식회사 | 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치 |
| US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
| KR102405723B1 (ko) | 2017-08-18 | 2022-06-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 및 고온 어닐링 챔버 |
| US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
| US20190070639A1 (en) * | 2017-09-07 | 2019-03-07 | Applied Materials, Inc. | Automatic cleaning machine for cleaning process kits |
| CN111357090B (zh) | 2017-11-11 | 2024-01-05 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
| WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
| US10475656B2 (en) | 2017-12-19 | 2019-11-12 | Micron Technology, Inc. | Hydrosilylation in semiconductor processing |
| US10964525B2 (en) | 2017-12-19 | 2021-03-30 | Micron Technology, Inc. | Removing a sacrificial material via sublimation in forming a semiconductor |
| US11037779B2 (en) | 2017-12-19 | 2021-06-15 | Micron Technology, Inc. | Gas residue removal |
| US10784101B2 (en) | 2017-12-19 | 2020-09-22 | Micron Technology, Inc. | Using sacrificial solids in semiconductor processing |
| US10957530B2 (en) | 2017-12-19 | 2021-03-23 | Micron Technology, Inc. | Freezing a sacrificial material in forming a semiconductor |
| US10695804B2 (en) * | 2018-01-25 | 2020-06-30 | Applied Materials, Inc. | Equipment cleaning apparatus and method |
| US10497558B2 (en) | 2018-02-26 | 2019-12-03 | Micron Technology, Inc. | Using sacrificial polymer materials in semiconductor processing |
| WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
| KR102573280B1 (ko) * | 2018-03-21 | 2023-09-01 | 삼성전자주식회사 | 기판 세정 방법, 기판 세정 장치 및 그를 이용한 반도체 소자의 제조방법 |
| US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
| JP7301575B2 (ja) * | 2018-05-15 | 2023-07-03 | 東京エレクトロン株式会社 | 基板処理方法、記憶媒体及び基板処理装置 |
| US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
| JP7080134B2 (ja) * | 2018-08-07 | 2022-06-03 | 東京エレクトロン株式会社 | 基板処理装置のパーティクル除去方法および基板処理装置 |
| JP7147444B2 (ja) * | 2018-10-03 | 2022-10-05 | 株式会社島津製作所 | 試料注入装置および試料注入システム |
| US11094527B2 (en) | 2018-10-10 | 2021-08-17 | International Business Machines Corporation | Wet clean solutions to prevent pattern collapse |
| KR102195007B1 (ko) * | 2018-10-11 | 2020-12-29 | 세메스 주식회사 | 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치 |
| KR102854551B1 (ko) * | 2018-11-28 | 2025-09-03 | 램 리써치 코포레이션 | 기판 프로세싱 시스템들을 위한 증기 챔버를 포함하는 페데스탈 |
| WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
| KR102262113B1 (ko) | 2018-12-18 | 2021-06-11 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
| US11127588B2 (en) * | 2019-04-12 | 2021-09-21 | Micron Technology, Inc. | Semiconductor processing applying supercritical drying |
| KR102636979B1 (ko) * | 2019-04-26 | 2024-02-14 | 삼성전자주식회사 | 멀티 챔버 장치 |
| KR102623544B1 (ko) * | 2019-06-10 | 2024-01-10 | 삼성전자주식회사 | 광 조사 기반 웨이퍼 세정 장치 및 그 세정 장치를 포함한 웨이퍼 세정 시스템 |
| KR102433558B1 (ko) * | 2019-07-11 | 2022-08-19 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
| JP7394563B2 (ja) * | 2019-09-12 | 2023-12-08 | 東京エレクトロン株式会社 | 基板処理装置の洗浄方法及び基板処理システム |
| KR102378330B1 (ko) * | 2019-10-11 | 2022-03-24 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
| US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
| US11515178B2 (en) * | 2020-03-16 | 2022-11-29 | Tokyo Electron Limited | System and methods for wafer drying |
| JP7486377B2 (ja) * | 2020-08-07 | 2024-05-17 | 東京エレクトロン株式会社 | 基板処理装置、及び基板処理方法 |
| US11605544B2 (en) * | 2020-09-18 | 2023-03-14 | Applied Materials, Inc. | Methods and systems for cleaning high aspect ratio structures |
| US12198944B2 (en) * | 2020-11-11 | 2025-01-14 | Applied Materials, Inc. | Substrate handling in a modular polishing system with single substrate cleaning chambers |
| JP7620444B2 (ja) * | 2021-02-16 | 2025-01-23 | 株式会社Screenホールディングス | 基板処理装置 |
| JP7674877B2 (ja) * | 2021-03-23 | 2025-05-12 | 株式会社Screenホールディングス | 基板処理方法および基板処理装置 |
| JP7726653B2 (ja) * | 2021-03-31 | 2025-08-20 | 芝浦メカトロニクス株式会社 | 基板乾燥装置及び基板処理装置 |
| TWI784545B (zh) * | 2021-05-26 | 2022-11-21 | 國立中山大學 | 晶圓常溫乾燥方法 |
| KR20230006728A (ko) | 2021-07-02 | 2023-01-11 | 삼성전자주식회사 | 웨이퍼 건조 장치 및 웨이퍼 건조 방법 |
| WO2023054055A1 (ja) * | 2021-09-30 | 2023-04-06 | 東京応化工業株式会社 | 基板の処理方法、薬液及び薬液の提供方法 |
| KR102729443B1 (ko) * | 2021-12-13 | 2024-11-13 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
| KR102458839B1 (ko) | 2022-06-17 | 2022-10-25 | (주)네온테크 | 열풍 또는 플라즈마를 사용하여 반도체 패키지 기판을 건조하는 방법 및 이를 위한 건조 장치 |
| KR102441255B1 (ko) | 2022-02-21 | 2022-09-07 | (주)네온테크 | 대기압 플라즈마 처리를 통한 기판의 드라이 방법 |
| TW202345223A (zh) * | 2022-03-10 | 2023-11-16 | 日商東京威力科創股份有限公司 | 基板處理方法及基板處理裝置 |
| US11761344B1 (en) * | 2022-04-19 | 2023-09-19 | General Electric Company | Thermal management system |
| US12237186B2 (en) | 2022-09-15 | 2025-02-25 | Applied Materials, Inc. | On-board cleaning of tooling parts in hybrid bonding tool |
| JP2024072488A (ja) | 2022-11-16 | 2024-05-28 | 株式会社Screenホールディングス | 基板処理方法 |
| KR102575765B1 (ko) | 2023-03-10 | 2023-09-06 | (주)네온테크 | 수세기 및 이를 사용한 수세 방법 |
Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR0171945B1 (ko) * | 1995-09-29 | 1999-03-30 | 김주용 | 반도체소자의 금속배선 형성방법 |
| US6764552B1 (en) * | 2002-04-18 | 2004-07-20 | Novellus Systems, Inc. | Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials |
| KR100730348B1 (ko) * | 2005-10-04 | 2007-06-19 | 삼성전자주식회사 | 미세 구조물의 제조 방법 |
| KR20070113096A (ko) * | 2006-05-24 | 2007-11-28 | 삼성전자주식회사 | 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템 |
| US20070295365A1 (en) * | 2006-06-27 | 2007-12-27 | Katsuhiko Miya | Substrate processing method and substrate processing apparatus |
Family Cites Families (85)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JPH0244738A (ja) * | 1988-08-05 | 1990-02-14 | Semiconductor Energy Lab Co Ltd | 電子装置作製方法 |
| US5417826A (en) * | 1992-06-15 | 1995-05-23 | Micron Technology, Inc. | Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors |
| US5417768A (en) | 1993-12-14 | 1995-05-23 | Autoclave Engineers, Inc. | Method of cleaning workpiece with solvent and then with liquid carbon dioxide |
| TW386235B (en) * | 1995-05-23 | 2000-04-01 | Tokyo Electron Ltd | Method for spin rinsing |
| JPH08330266A (ja) * | 1995-05-31 | 1996-12-13 | Texas Instr Inc <Ti> | 半導体装置等の表面を浄化し、処理する方法 |
| JPH09275085A (ja) * | 1996-04-05 | 1997-10-21 | Hitachi Ltd | 半導体基板の洗浄方法ならびに洗浄装置および半導体基板製造用成膜方法および成膜装置 |
| US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
| US6306564B1 (en) | 1997-05-27 | 2001-10-23 | Tokyo Electron Limited | Removal of resist or residue from semiconductors using supercritical carbon dioxide |
| US6090217A (en) | 1998-12-09 | 2000-07-18 | Kittle; Paul A. | Surface treatment of semiconductor substrates |
| US6334266B1 (en) * | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
| US6508259B1 (en) | 1999-08-05 | 2003-01-21 | S.C. Fluids, Inc. | Inverted pressure vessel with horizontal through loading |
| US6496648B1 (en) | 1999-08-19 | 2002-12-17 | Prodeo Technologies, Inc. | Apparatus and method for rapid thermal processing |
| US6858089B2 (en) * | 1999-10-29 | 2005-02-22 | Paul P. Castrucci | Apparatus and method for semiconductor wafer cleaning |
| US6576066B1 (en) * | 1999-12-06 | 2003-06-10 | Nippon Telegraph And Telephone Corporation | Supercritical drying method and supercritical drying apparatus |
| US6286231B1 (en) | 2000-01-12 | 2001-09-11 | Semitool, Inc. | Method and apparatus for high-pressure wafer processing and drying |
| US6372657B1 (en) * | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
| US6562146B1 (en) | 2001-02-15 | 2003-05-13 | Micell Technologies, Inc. | Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide |
| US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
| JP2003051474A (ja) | 2001-08-03 | 2003-02-21 | Kobe Steel Ltd | 高圧処理装置 |
| US20030026677A1 (en) | 2001-08-03 | 2003-02-06 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) | High-pressure process apparatus |
| JP3944368B2 (ja) | 2001-09-05 | 2007-07-11 | 株式会社荏原製作所 | 基板処理装置及び基板処理方法 |
| US6666928B2 (en) | 2001-09-13 | 2003-12-23 | Micell Technologies, Inc. | Methods and apparatus for holding a substrate in a pressure chamber |
| US20030084918A1 (en) * | 2001-11-07 | 2003-05-08 | Kim Yong Bae | Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes |
| JP4011900B2 (ja) | 2001-12-04 | 2007-11-21 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
| US6843855B2 (en) * | 2002-03-12 | 2005-01-18 | Applied Materials, Inc. | Methods for drying wafer |
| JP3782366B2 (ja) | 2002-03-20 | 2006-06-07 | 日本電信電話株式会社 | 超臨界処理方法及び超臨界処理装置 |
| JP4031440B2 (ja) | 2002-03-22 | 2008-01-09 | 東京エレクトロン株式会社 | 超臨界処理を用いる汚染物の除去 |
| TW554075B (en) | 2002-04-17 | 2003-09-21 | Grand Plastic Technology Corp | Puddle etching method of thin film using spin processor |
| US8003587B2 (en) * | 2002-06-06 | 2011-08-23 | Ekc Technology, Inc. | Semiconductor process residue removal composition and process |
| US20030228755A1 (en) * | 2002-06-07 | 2003-12-11 | Esry Thomas Craig | Method for metal patterning and improved linewidth control |
| US20040171260A1 (en) | 2002-06-14 | 2004-09-02 | Lam Research Corporation | Line edge roughness control |
| US7384484B2 (en) * | 2002-11-18 | 2008-06-10 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing method, substrate processing apparatus and substrate processing system |
| JP4000052B2 (ja) * | 2002-12-05 | 2007-10-31 | 大日本スクリーン製造株式会社 | 基板処理装置 |
| JP2004249189A (ja) | 2003-02-19 | 2004-09-09 | Sony Corp | 洗浄方法 |
| US20040198066A1 (en) * | 2003-03-21 | 2004-10-07 | Applied Materials, Inc. | Using supercritical fluids and/or dense fluids in semiconductor applications |
| KR100597656B1 (ko) | 2003-10-02 | 2006-07-07 | 그린텍이십일 주식회사 | 반도체의 제조를 위한 세정방법 및 세정장치 |
| JP4247087B2 (ja) | 2003-10-07 | 2009-04-02 | 株式会社日立ハイテクサイエンスシステムズ | 微細構造乾燥処理方法及びその装置 |
| JP2005138063A (ja) | 2003-11-10 | 2005-06-02 | Mitsubishi Materials Corp | 超臨界二酸化炭素とオゾンによる洗浄方法 |
| WO2005113167A1 (en) * | 2004-05-07 | 2005-12-01 | Advanced Technology Materials, Inc. | Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products |
| JP4393268B2 (ja) * | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
| US20050274396A1 (en) | 2004-06-09 | 2005-12-15 | Hong Shih | Methods for wet cleaning quartz surfaces of components for plasma processing chambers |
| JP2006024692A (ja) | 2004-07-07 | 2006-01-26 | Toshiba Corp | レジストパターン形成方法 |
| US20060065189A1 (en) | 2004-09-30 | 2006-03-30 | Darko Babic | Method and system for homogenization of supercritical fluid in a high pressure processing system |
| US20060130966A1 (en) | 2004-12-20 | 2006-06-22 | Darko Babic | Method and system for flowing a supercritical fluid in a high pressure processing system |
| US7365016B2 (en) * | 2004-12-27 | 2008-04-29 | Dalsa Semiconductor Inc. | Anhydrous HF release of process for MEMS devices |
| US20060254612A1 (en) * | 2005-05-16 | 2006-11-16 | Micron Technology, Inc. | Polar fluid removal from surfaces using supercritical fluids |
| US20070093406A1 (en) * | 2005-10-24 | 2007-04-26 | Omoregie Henryson | Novel cleaning process for masks and mask blanks |
| JP2007142335A (ja) * | 2005-11-22 | 2007-06-07 | Dainippon Screen Mfg Co Ltd | 高圧処理方法 |
| JP2007206344A (ja) | 2006-02-01 | 2007-08-16 | Ricoh Co Ltd | 画像形成装置 |
| US8084367B2 (en) | 2006-05-24 | 2011-12-27 | Samsung Electronics Co., Ltd | Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods |
| US7772128B2 (en) * | 2006-06-09 | 2010-08-10 | Lam Research Corporation | Semiconductor system with surface modification |
| KR100902330B1 (ko) | 2006-06-29 | 2009-06-12 | 주식회사 아이피에스 | 반도체공정장치 |
| KR100744145B1 (ko) * | 2006-08-07 | 2007-08-01 | 삼성전자주식회사 | 초임계 유체를 이용하는 웨이퍼 처리 장치 및 웨이퍼 처리방법 |
| KR100822373B1 (ko) | 2006-09-12 | 2008-04-17 | 세메스 주식회사 | 초임계 유체를 이용한 기판 건조 장치, 이를 구비한 기판처리 설비 및 기판 처리 방법 |
| JP2008073611A (ja) | 2006-09-21 | 2008-04-03 | Dainippon Screen Mfg Co Ltd | 高圧処理装置 |
| JP5138916B2 (ja) * | 2006-09-28 | 2013-02-06 | 東京応化工業株式会社 | パターン形成方法 |
| KR100876758B1 (ko) * | 2006-12-26 | 2009-01-08 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
| US7753353B2 (en) | 2007-03-14 | 2010-07-13 | Xerox Corporation | Vertical sheet compiling apparatus and methods of vertically compiling sheets |
| US8057601B2 (en) | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
| US20080302303A1 (en) | 2007-06-07 | 2008-12-11 | Applied Materials, Inc. | Methods and apparatus for depositing a uniform silicon film with flow gradient designs |
| US8551880B2 (en) * | 2007-11-01 | 2013-10-08 | Applied Materials, Inc. | Ammonia-based plasma treatment for metal fill in narrow features |
| US8084406B2 (en) * | 2007-12-14 | 2011-12-27 | Lam Research Corporation | Apparatus for particle removal by single-phase and two-phase media |
| US8153533B2 (en) * | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
| US20100184301A1 (en) | 2009-01-20 | 2010-07-22 | Lam Research | Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process |
| JP2011040572A (ja) | 2009-08-11 | 2011-02-24 | Toshiba Corp | 基板処理装置および基板処理方法 |
| US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
| JP2012049446A (ja) * | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
| JP5685918B2 (ja) | 2010-12-10 | 2015-03-18 | 富士通株式会社 | 半導体装置の製造方法 |
| JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
| WO2012133583A1 (ja) | 2011-03-30 | 2012-10-04 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
| JP6085423B2 (ja) | 2011-05-30 | 2017-02-22 | 株式会社東芝 | 基板処理方法、基板処理装置および記憶媒体 |
| WO2012165377A1 (ja) | 2011-05-30 | 2012-12-06 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
| JP5843277B2 (ja) | 2011-07-19 | 2016-01-13 | 株式会社東芝 | 半導体基板の超臨界乾燥方法及び装置 |
| JP5712902B2 (ja) | 2011-11-10 | 2015-05-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
| KR101329317B1 (ko) | 2011-12-07 | 2013-11-25 | 한국과학기술연구원 | 기판건조장치 및 기판건조방법 |
| US8534659B2 (en) | 2011-12-13 | 2013-09-17 | United Microelectronics Corp. | Substrate carrier and applications thereof |
| JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
| US9587880B2 (en) * | 2012-05-31 | 2017-03-07 | Semes Co., Ltd. | Apparatus and method for drying substrate |
| TWI627667B (zh) | 2012-11-26 | 2018-06-21 | 應用材料股份有限公司 | 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 |
| JP6020233B2 (ja) | 2013-02-14 | 2016-11-02 | 三菱自動車エンジニアリング株式会社 | ピラートリム |
| KR101451244B1 (ko) | 2013-03-22 | 2014-10-15 | 참엔지니어링(주) | 라이너 어셈블리 및 이를 구비하는 기판 처리 장치 |
| US10391526B2 (en) | 2013-12-12 | 2019-08-27 | Lam Research Corporation | Electrostatic chuck cleaning fixture |
| US9236284B2 (en) | 2014-01-31 | 2016-01-12 | Applied Materials, Inc. | Cooled tape frame lift and low contact shadow ring for plasma heat isolation |
| KR102346064B1 (ko) | 2014-03-12 | 2021-12-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 반도체 챔버에서의 웨이퍼 회전 |
| JP6005702B2 (ja) | 2014-09-18 | 2016-10-12 | 株式会社東芝 | 半導体基板の超臨界乾燥方法および基板処理装置 |
-
2013
- 2013-11-07 TW TW102140520A patent/TWI627667B/zh active
- 2013-11-07 TW TW107115524A patent/TWI689004B/zh active
- 2013-11-07 TW TW109105114A patent/TWI826650B/zh active
- 2013-11-12 US US14/078,373 patent/US10354892B2/en active Active
- 2013-11-21 KR KR1020207027347A patent/KR102284839B1/ko active Active
- 2013-11-21 JP JP2015544135A patent/JP6408477B2/ja active Active
- 2013-11-21 CN CN201380057383.XA patent/CN104919574B/zh active Active
- 2013-11-21 KR KR1020217023921A patent/KR102397871B1/ko active Active
- 2013-11-21 WO PCT/US2013/071314 patent/WO2014081966A1/en not_active Ceased
- 2013-11-21 KR KR1020157016291A patent/KR102161253B1/ko active Active
- 2013-11-21 CN CN201710840913.8A patent/CN107799391B/zh active Active
-
2017
- 2017-05-11 US US15/593,197 patent/US10347511B2/en active Active
-
2018
- 2018-09-20 JP JP2018176500A patent/JP6662977B2/ja active Active
-
2019
- 2019-05-24 US US16/422,477 patent/US11011392B2/en active Active
-
2020
- 2020-02-13 JP JP2020022247A patent/JP6929981B2/ja active Active
Patent Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR0171945B1 (ko) * | 1995-09-29 | 1999-03-30 | 김주용 | 반도체소자의 금속배선 형성방법 |
| US6764552B1 (en) * | 2002-04-18 | 2004-07-20 | Novellus Systems, Inc. | Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials |
| KR100730348B1 (ko) * | 2005-10-04 | 2007-06-19 | 삼성전자주식회사 | 미세 구조물의 제조 방법 |
| KR20070113096A (ko) * | 2006-05-24 | 2007-11-28 | 삼성전자주식회사 | 초임계 유체를 이용한 식각, 세정 및 건조 방법들 및 이를위한 챔버 시스템 |
| US20070295365A1 (en) * | 2006-06-27 | 2007-12-27 | Katsuhiko Miya | Substrate processing method and substrate processing apparatus |
Cited By (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2016181577A (ja) * | 2015-03-24 | 2016-10-13 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
| CN108140547A (zh) * | 2015-09-30 | 2018-06-08 | 东京毅力科创株式会社 | 使用液体二氧化碳干燥半导体基底的方法和设备 |
| JP2018530157A (ja) * | 2015-09-30 | 2018-10-11 | 東京エレクトロン株式会社 | 液体二酸化炭素を使用して半導体基板を乾燥させるための方法及び装置 |
Also Published As
| Publication number | Publication date |
|---|---|
| US10347511B2 (en) | 2019-07-09 |
| JP6929981B2 (ja) | 2021-09-01 |
| TW201426850A (zh) | 2014-07-01 |
| CN107799391B (zh) | 2021-11-26 |
| KR20200111835A (ko) | 2020-09-29 |
| JP2020098933A (ja) | 2020-06-25 |
| KR20150088829A (ko) | 2015-08-03 |
| KR102397871B1 (ko) | 2022-05-12 |
| CN107799391A (zh) | 2018-03-13 |
| KR20210114429A (ko) | 2021-09-23 |
| JP6408477B2 (ja) | 2018-10-17 |
| KR102161253B1 (ko) | 2020-09-29 |
| US20140144462A1 (en) | 2014-05-29 |
| CN104919574A (zh) | 2015-09-16 |
| US20190287823A1 (en) | 2019-09-19 |
| US11011392B2 (en) | 2021-05-18 |
| JP2019024104A (ja) | 2019-02-14 |
| CN104919574B (zh) | 2018-02-16 |
| US10354892B2 (en) | 2019-07-16 |
| KR102284839B1 (ko) | 2021-07-30 |
| TWI627667B (zh) | 2018-06-21 |
| TWI689004B (zh) | 2020-03-21 |
| TW201842570A (zh) | 2018-12-01 |
| JP2016503588A (ja) | 2016-02-04 |
| TWI826650B (zh) | 2023-12-21 |
| US20170250094A1 (en) | 2017-08-31 |
| TW202034397A (zh) | 2020-09-16 |
| JP6662977B2 (ja) | 2020-03-11 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| US11011392B2 (en) | Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures | |
| US10283344B2 (en) | Supercritical carbon dioxide process for low-k thin films | |
| US8197603B2 (en) | Method and apparatus for treating a substrate with dense fluid and plasma | |
| CN108140603B (zh) | 基板支撑件和挡板设备 | |
| US10777405B2 (en) | Drying process for high aspect ratio features | |
| US10825698B2 (en) | Substrate drying apparatus, facility of manufacturing semiconductor device, and method of drying substrate | |
| TWI505351B (zh) | 基板處理方法及基板處理裝置 | |
| WO2017062134A1 (en) | Small thermal mass pressurized chamber | |
| CN114695190B (zh) | 用于处理基板的设备和方法 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| 121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 13856550 Country of ref document: EP Kind code of ref document: A1 |
|
| ENP | Entry into the national phase |
Ref document number: 2015544135 Country of ref document: JP Kind code of ref document: A |
|
| NENP | Non-entry into the national phase |
Ref country code: DE |
|
| ENP | Entry into the national phase |
Ref document number: 20157016291 Country of ref document: KR Kind code of ref document: A |
|
| 122 | Ep: pct application non-entry in european phase |
Ref document number: 13856550 Country of ref document: EP Kind code of ref document: A1 |