WO2007013424A1 - 真空処理装置、半導体デバイス製造方法および半導体デバイス製造システム - Google Patents

真空処理装置、半導体デバイス製造方法および半導体デバイス製造システム Download PDF

Info

Publication number
WO2007013424A1
WO2007013424A1 PCT/JP2006/314619 JP2006314619W WO2007013424A1 WO 2007013424 A1 WO2007013424 A1 WO 2007013424A1 JP 2006314619 W JP2006314619 W JP 2006314619W WO 2007013424 A1 WO2007013424 A1 WO 2007013424A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
substrate
transfer
processing
vacuum
Prior art date
Application number
PCT/JP2006/314619
Other languages
English (en)
French (fr)
Inventor
Takahiro Fujii
Yukihito Tashiro
Seiji Itani
Motozo Kurita
Original Assignee
Canon Anelva Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corporation filed Critical Canon Anelva Corporation
Priority to US11/989,319 priority Critical patent/US20080171435A1/en
Priority to JP2007528462A priority patent/JP4794559B2/ja
Publication of WO2007013424A1 publication Critical patent/WO2007013424A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Definitions

  • Vacuum processing apparatus semiconductor device manufacturing method, and semiconductor device manufacturing system
  • the present invention relates to a vacuum processing apparatus that enables complicated substrate processing processes with a simple and compact chamber layout, a semiconductor device manufacturing method and a semiconductor device manufacturing system using the vacuum processing apparatus.
  • various types of vacuum processing apparatuses used to process a substrate such as a semiconductor wafer or the like to manufacture semiconductor devices have been carried out with a single apparatus from the viewpoint of multilayering of the device structure, improvement of throughput, etc. It tends to have multiple processing chambers to perform the processing consistently in vacuum.
  • various processing processes include, in the case of a semiconductor wafer, a film formation process (sputtering, CVD, etc.), an oxidation process, a diffusion process, an etching process, an annealing process, various other pretreatment processes, or the like.
  • As an apparatus form of such a vacuum processing apparatus there is a form such as an in-line type or a cluster type.
  • a plurality of substrates are carried in as cassettes through a load lock chamber, and each of the plurality of substrates is sequentially taken into a transport region, a delivery region, and a processing region according to a predetermined procedure. It is processed by the leaf formula.
  • a load lock chamber usually, a load lock chamber, and a load lock chamber.
  • Patent Document 1 Japanese Patent Laid-Open No. 4 199709
  • a continuous processing apparatus provided with a transfer chamber (31 to 36) connected to one or more processing chambers.
  • the objects to be processed are transferred in the transfer chambers (31 to 36), and one or more types of processing are continuously performed by each processing chamber.
  • Two or more transfer chambers are provided, and between the two transfer chambers, buffer chambers (41 to 45) connecting these are provided.
  • Patent Document 2 Japanese Patent Application Laid-Open No. 2000-150618
  • a vacuum processing system for processing an object to be processed such as a substrate, and a plurality of processes such as a sputtering process chamber and a CVD process chamber.
  • a management unit is provided.
  • two transfer chambers (16, 30) having transfer arms for transferring an object to be processed are provided, and at least one processing unit is provided in each of the two transfer chambers (16, 30). It is connected via a gate valve.
  • an intermediate pass chamber (38) having a mounting table (40) inside and capable of being evacuated. The configuration of the two transfer chambers and the intermediate pass chamber between them avoids the complexity of the transfer path for the transfer of the object to be processed, thereby improving the throughput.
  • Patent Document 3 Japanese Patent Application Laid-Open No. 7-288238
  • Patent Document 3 Japanese Patent Application Laid-Open No. 7-288238
  • a multi-chamber process device that performs the process.
  • a first transport module and a second transport module connected in series via the delivery module are provided to create a return path for the transport path of the substrate to be processed. I like it.
  • Patent Document 4 Japanese Patent Laid-Open No. 2003-59999
  • Patent Document 4 Japanese Patent Laid-Open No. 2003-59999
  • a plurality of processing units (34A to 34D) are connected to one common transfer chamber, and, for example, one pre-processing unit (110) is connected to each of two separate transfer chambers.
  • Each of the two separate transfer chambers (72) is connected to the common transfer chamber (36) via a separate second buffer chamber (74).
  • the conventional vacuum processing apparatus corresponding to the above-mentioned complicated substrate processing processes can not provide a sufficient apparatus configuration to achieve the task of realizing a simple and compact chamber layout. .
  • Patent Document 1 Japanese Patent Application Laid-Open No. 4-199709
  • Patent Document 2 Japanese Patent Application Laid-Open No. 2000-150618
  • Patent Document 3 Japanese Patent Application Laid-Open No. 7-288238
  • Patent Document 4 Japanese Patent Application Laid-Open No. 2003-59999
  • the problem to be solved by the present invention is a vacuum processing apparatus capable of coping with complicated substrate processing processes, and such a vacuum processing apparatus can be realized with a simpler and more compact chamber layout than before. It proposes a device configuration.
  • the present invention aims to provide a vacuum processing apparatus capable of coping with a complicated substrate processing process by a simpler and more compact chamber layout than in the past.
  • Another object of the present invention is to provide a semiconductor device manufacturing method using the above-described vacuum processing apparatus, and a semiconductor device manufacturing system configured using the above-described vacuum processing apparatus.
  • a vacuum processing apparatus, a semiconductor device manufacturing method, and a semiconductor device manufacturing system according to the present invention are configured as follows in order to achieve the above object.
  • the vacuum processing apparatus is internally connected to at least one of at least three transfer chambers including a transfer robot arm for transferring a substrate, and to each of the transfer chambers, and internally processes the substrate.
  • Processing chamber and one or more substrate placement units provided therein, and the transfer robot arm of each of at least three transfer chambers is disposed so as to reach the substrate placement unit, and at least two transfer chambers are provided.
  • a load lock chamber connected to the at least one transfer chamber.
  • a simple and compact chamber layout composed of three transfer chambers and a common vacuum chamber for substrate delivery provided at an intermediate position between them supports complicated substrate processing processes. can do.
  • the transfer robot arm of the transfer chamber can transfer the substrate to the substrate mounting unit in the common vacuum chamber.
  • one transfer vacuum chamber is provided between at least one set of two adjacent transfer chambers of at least three transfer chambers.
  • load lock chambers are individually provided in each of the two transfer chambers.
  • the common vacuum chamber includes a rotatable substrate placement unit and an alignment sensor, and has a function of performing alignment of the substrate.
  • the common vacuum chamber includes one or both of a heater stage for heating and a cooling stage for cooling, thereby performing a function of heating Z-cooling the substrate.
  • the common vacuum chamber includes a monitoring device that monitors the surface condition of the substrate, thereby having a substrate monitoring function.
  • the common vacuum chamber includes a cassette capable of storing a plurality of substrates, a mechanism for moving the cassette up and down, and a rotation mechanism for rotating the cassette.
  • each of the transfer chamber, the common vacuum chamber, and the delivery vacuum chamber is separated by a gate valve.
  • a plurality of processing chambers connected to the same transfer chamber are processing chambers belonging to the same category divided based on the processing pressure and the content and degree of contamination by the processing. is there.
  • the common vacuum chamber is a substrate alignment, It is a chamber that performs at least one force selected from substrate heating, substrate cooling, substrate surface condition monitoring, and substrate stock.
  • the semiconductor device manufacturing method of the present invention is a method of preparing the above-described vacuum processing apparatus and performing at least three processes on a substrate using the vacuum processing apparatus.
  • the semiconductor device manufacturing method of the present invention is a semiconductor device manufacturing method including a wafer process, and the wafer process is the first process of at least three processing chambers provided in the vacuum processing apparatus described above. It includes an oxidation process performed in the chamber, a CVD process performed in the second processing chamber, and an electrode forming process performed in the third processing chamber.
  • the wafer process is performed after the acid treatment step, the CVD step, and the electrode formation step are performed in a vacuum processing apparatus, and then the other process other than the vacuum processing apparatus is performed. It includes a resist processing step, an exposure step, a development step and an etching step which are carried out by the apparatus.
  • the semiconductor device manufacturing system of the present invention is a semiconductor device manufacturing system including the above-described vacuum processing apparatus, resist coater, stepper, resist developer, and etcher for performing a wafer process, and the wafer process is at least three.
  • the vacuum processing apparatus carries out at least three types of substrate processing steps, including a type of substrate processing step.
  • the semiconductor device manufacturing system of the present invention is a semiconductor device manufacturing system including the above-mentioned vacuum processing apparatus, resist coater, stepper, resist developer, and etcher for carrying out wafer processing, and the wafer processing is an oxidation process and CVD.
  • the vacuum processing apparatus includes a first processing chamber for performing an oxidation process, a second processing chamber for performing a CVD process, and a third processing chamber for performing an electrode formation process.
  • the resist coater performs a resist processing step, and the stepper performs an exposure step,
  • the resist developer carries out the development process, and the etcher carries out the etching process.
  • the vacuum processing apparatus there are at least three transfer chambers each connected with one or more processing chambers, and an intermediate position between these three transfer chambers; Based on one substrate delivery common vacuum chamber connected to the transfer chamber, the basic configuration of the chamber layout is realized. Therefore, by sharing the substrate transfer path and common substrate processing while maintaining the simpler and more compact chamber layout by combining it with its own device configuration or with other substrate delivery vacuum chambers. It is possible to expand the possibilities of the process and realize complex substrate processing processes.
  • the degree of freedom in selecting the substrate transfer path in the vacuum processing apparatus can be enhanced, and the combination of the substrate processing process is realized.
  • the degree of freedom can be increased, and complex substrate processing processes can be accommodated. This enables complex substrate processing processes to be realized efficiently and with high productivity.
  • the flexibility of the layout of chambers, such as various processing chambers and transfer chambers can be enhanced by utilizing a powerful basic configuration.
  • semiconductor devices can be manufactured efficiently and inexpensively.
  • FIG. 1 is a plan view schematically showing the vacuum processing apparatus of the first embodiment.
  • the vacuum processing apparatus 1 includes three transfer chambers 11, 12, and 13 in a relative positional relationship.
  • Each of the transfer chambers 11, 12, 13 has a transfer robot arm 11a, 12a, 13a, preferably at a central position therein.
  • the transfer robot arms 1 la to 13 a are mechanisms for transferring a substrate to be processed (in the following description, for convenience, “wafer”).
  • the transfer chambers 11 to 13 are each independently provided with a vacuum evacuation device and a transfer robot arm control device (not shown).
  • the transfer robot arms 11a to 13a are rotatable around the central axis portion 14 and the arm portion 15 is telescopic Yes. Also, the arm unit 15 can move up and down.
  • the transfer robot arms 1 la to 13 a are provided with substantially semicircular substrate receiving portions on both sides.
  • the substrate mounting portions 16 are provided on both sides.
  • the shape and structure of the transfer robot arm is not limited to this, and the shape of the arm portion and the extension mechanism if it can transfer the substrate from the common vacuum chamber to the processing chamber or vice versa.
  • the vertical movement mechanism etc. any mechanism can be used.
  • the three transfer chambers 11 to 13 are arranged so as to be at the vertex positions of the triangles which are clearly shown in FIG.
  • Each of the three transfer chambers 11-13 is fabricated as one isolated transfer chamber device.
  • One common vacuum chamber 21 is provided substantially at an intermediate position between the three transfer chambers 11 to 13.
  • the common vacuum chamber 21 has one substrate mounting portion 21a inside, and is equipped with a not-shown evacuation apparatus.
  • the vacuum evacuation device brings the common vacuum chamber 21 into a required pressure reduction state.
  • Gate valves 22, 23, 24 are provided on the boundary wall between the one common vacuum chamber 21 and each of the three transfer chambers 11 to 13 described above.
  • Each of the gate valves 22-24 opens the interior of the adjacent chamber by opening operation, which allows the substrate to be moved between the chambers. When the gate valves 22 to 24 are closed, the adjacent chambers are shut off and kept isolated.
  • the operation of each of the gate valves 22 to 24 is executed by a V ⁇ controller at an appropriate timing according to the substrate processing process.
  • the common vacuum chamber 21 has one substrate mounting portion 21 a and does not have a special substrate transfer mechanism such as the transfer robot arm. Therefore, the common vacuum chamber 21 also sees the force of each of the three transfer chambers 11 to 13 and delivers the substrate to be processed to the transfer robot arm of the other transfer chamber as a substrate delivery and vacuum chamber. It has a function. In another aspect, the common vacuum chamber 21 is used as one transfer path for moving the substrate.
  • the position of the substrate mounting portion 21 a of the common vacuum chamber 21 is determined by the transfer chamber.
  • the arm reachable position is set by the transfer robot arm lla to 13a of each of the yanbers 11 to 13. Therefore, all the transfer robot arms lla to 13a of the transfer chambers 11 to 13 have substrates on the substrate mounting portion 21a of the common vacuum chamber 21 on the premise that the gate valves 22 to 24 are open. It can be placed, and the substrate placed on the substrate mounting part 21a can be taken out.
  • a chamber construction force vacuum processing apparatus 10 is configured basically including the above three transfer chambers 11 to 13 and one common vacuum chamber 21 located at the center of them.
  • the common vacuum chamber 21 is commonly used as a substrate delivery chamber.
  • the common vacuum chamber 21 can also be configured to be used as a processing chamber that executes a predetermined processing process on a substrate according to the design requirements of the vacuum processing apparatus 1. In this case, by providing heating means, cooling means, etc., processing processes such as heating, cooling, furniture, alignment and the like are performed. Specific examples of these treatment processes will be described later.
  • one processing chamber is connected to each of the three transfer chambers 11 to 13 described above via a gate valve 41.
  • a processing chamber 51 is connected to the transfer chamber 11
  • a processing chamber 61 is connected to the transfer chamber 12
  • a processing chamber 71 is connected to the transfer chamber 13.
  • One chamber connected to each of the transfer chambers 11 to 13 is preferably divided into each transfer chamber based on vacuum level (pressure level), vacuum quality (cleanness, ie content and degree of contamination). Belongs to the classified category.
  • each of the treatment chambers 51, 61, 71 can be arbitrarily set according to the purpose of the treatment substrate.
  • the circular portions shown in each processing chamber indicate the substrate mounting portion.
  • Load lock chambers 81, 82 are further connected to the two lower transfer chambers 11, 12 in FIG. 1 via gate holes 8 la, 82a.
  • the substrate is provided based on having a basic configuration including three transfer chambers 11 to 13 and one common vacuum chamber 21 in the central portion thereof. While increasing the freedom of selection of transport routes for moving It is possible to increase the degree of freedom in combining various processing processes on a substrate.
  • the common vacuum chamber 21 is provided with gate valves 22 to 24 between each of the three transfer chambers 11 to 13 and has an independent evacuation system, for example, the following first to fourth Preferably, at least one of the fourth functions is provided.
  • the first function is the aligner function.
  • the common vacuum chamber 21 having a aligner function has an aligner provided with a rotatable wafer stage (corresponding to the substrate mounting portion 21a) and a sensor necessary for alignment.
  • the substrate or wafer carried into the common vacuum chamber 21 and mounted on the wafer stage detects the notch or orientation flat of the wafer based on the sensor information by rotating the wafer stage, and further the wafer Calculate the center position.
  • the wafer is rotated to provide center position information to the transfer robot for delivery in order to optimize the notch (with consideration to the center position) of the wafer relative to each of the three transfer chambers 11-13. Wafer alignment is performed by this series of operations.
  • the second function is the heating Z cooling function.
  • Heating In the common vacuum chamber 21 having a Z-cooling function one or both of a heater stage for heating and a cooling stage for cooling are provided therein, and a heater for heating and Z or cooling a wafer is provided. Or at least one of the coolers.
  • the processing chambers 51, 61, 71 connected to each of the three transfer chambers 11 to 13 have one or both of a heating mechanism and a cooling mechanism, and the other through the transfer chambers 11 to 13.
  • the heating Z cooling function of the common vacuum chamber 21 can bring the processing temperature in the next processing chamber in advance.
  • the temperature rising time or temperature lowering time before the treatment in each of the processing chambers 51, 61, 71 can be shortened, and the entire processing time can be shortened.
  • the third function is a monitor function.
  • the common vacuum chamber 21 having a monitor function is provided with a monitor for monitoring the surface condition of the wafer.
  • the wafer is It is fed into the yanbar 21 and placed on the wafer stage (substrate mounting portion 21a).
  • the condition of the surface of the wafer is monitored by an installed monitor.
  • the results of the motor are used to determine the normality of the process in the process chamber.
  • the monitoring result can be used to correct the processing recipe in the processing chamber in which the processing is performed.
  • the monitoring results can also be used to modify processing recipes in subsequent processing chambers.
  • the above monitor device is, for example, a non-contact optical film thickness measuring instrument such as a spectroscopic ellipsometer when film thickness is monitored, and RHEED (Reflection High-Energy Electron Diffraction) when crystallinity is monitored. ).
  • a non-contact optical film thickness measuring instrument such as a spectroscopic ellipsometer when film thickness is monitored, and RHEED (Reflection High-Energy Electron Diffraction) when crystallinity is monitored. ).
  • the fourth function is the stocker function of the wafer.
  • the common vacuum chamber 21 having a wafer stocker function is equipped with a multistage cassette capable of storing a plurality of wafers therein, a mechanism for raising and lowering the cassette, and the cassette directed to each of the transfer chambers 11 to 13. Equipped with a rotating mechanism! /.
  • seasoning for conditioning the processing module immediately after the start of wafer production uses a dummy wafer, loads the dummy wafer from the cassette 86, and transfers the dummy wafer to the processing chamber by the transfer chamber through the gate lock. I was trying to feed it. Thereafter, recovery of the dummy wafer was performed in the reverse procedure.
  • the dummy wafer is stocked in the vacuum while all processing modules need to be seasoned.
  • dummy wafers can be transferred to the processing chamber via transfer chambers 11-13. According to this, the transportation time can be shortened, seasoning can be started immediately, and as a result, the time to the start of production can be shortened. Furthermore, similarly, the time for collecting dummy wafers can be shortened.
  • the processing module is a PVD module
  • a target cleaning process is required.
  • the processing module has a shutter mechanism, which is closed to perform target cleaning.
  • RF sputtering Because there is a gap between the shirt and the stage, there is a phenomenon that the plasma gets around to the back of the shirt, and the shirt may not be usable. Therefore, in this case, a wafer may be fed into the processing module and placed on the wafer stage, and this wafer may be used in place of the shirter to perform target cleaning. Also, in order to shorten the transfer time, there are cases where a processing module uses a wafer used instead of a shirter and a mechanism for transferring it.
  • each target transfer chamber (11, 11), which is necessary when necessary, is cleaned from the common vacuum chamber 21 during target cleaning.
  • the target cleaning can be performed by transferring the wafer to each processing chamber connected to 12, 13). After completion, the wafer can be returned to the original common vacuum chamber 21.
  • each processing chamber can significantly reduce the time until the start of cleaning, and furthermore, it is not necessary to have a complex transport mechanism in each processing module.
  • the vacuum processing apparatus 1 supports the meaning of including three transfer chambers 11 to 13, and as an example, a tungsten plug (W-Plug) forming process for contact holes is used. Take an example. As the wafer processing procedure in the W-Plug formation process to the contact hole, the wafer is moved in the order of the processing chamber 51, the processing chamber 71, and the processing chamber 61.
  • W-Plug tungsten plug
  • the processing chamber 51 for performing the first processing preferably has a chemical substrate pretreatment function.
  • the purpose of the processing chamber 51 is to remove the native oxide film at the bottom of the contact hole.
  • the gases used for the treatment are NH 3, H etc., and the pressure of several Pa
  • the processing chamber 71 for performing the next processing preferably has a sputtering function.
  • the purpose of the processing chamber 71 is to form a TiZTiN film inside the contact hole. This Ti ZTiN film secures the next blanket W seed layer and burrs on the side of the contact hole. The film is deposited to secure the function.
  • the background pressure before treatment requires 1 ⁇ 10 5 E-5 Pa or less, and the gas used for the actual treatment is Ar, N, and 0.1.
  • the film is formed at a pressure of 10 Pa.
  • the processing chamber 61 that performs the final processing preferably has a CVD function.
  • the purpose of the processing chamber 61 is to form a plug inside the contact hole.
  • the gas used for the processing is WF, N, H, etc., and the film is formed at a pressure of several Pa.
  • the transfer chambers 11 to 13 preferably have the following functions.
  • the transfer chamber 11 preferably has a knockdown pressure of 1 ⁇ 10E-5 Pa or less.
  • the pressure is higher than the pressure of the processing chamber 51. This is to prevent the diffusion of residual gas from the processing chamber 51 to the transfer chamber 11 when the gate valve 41 is opened, while reducing the partial pressure of oxygen in the transfer chamber 11 to prevent reoxidation. .
  • the transfer chamber 13 is preferably equivalent to the knock ground pressure of the processing chamber 71. This is to prevent impurity gas from being incorporated into the sputtered film.
  • the transfer chamber 12 is preferably at a higher pressure than the pressure of the processing chamber 61.
  • each of the transfer chambers 11, 12 and 13 since the degree of vacuum of each of the transfer chambers 11, 12 and 13 is largely different, they should be connected to each other by the common vacuum chamber 21 so as not to directly connect the different transfer chambers. Is preferred.
  • the vacuum processing apparatus 1 of the first embodiment since the at least three transfer chambers 11 to 13 having different functions as described above can be accessed in common and the substrates can be delivered,
  • the functions of the common part of the plurality of processing chambers can be concentrated on the common vacuum chamber 21 to simplify the processing chamber and the processing modules mechanically and reduce the overall installation area of the apparatus.
  • the processing zone can be divided into each transfer chamber in consideration of the contamination.
  • the transfer chamber 11 is a chemical processing zone
  • the transfer chamber 13 is a sputter processing zone
  • the transfer chamber 12 is a CVD processing zone.
  • the substrate is transferred from the load lock chamber 81 to the transfer chamber 11, processed in the processing chamber 51 connected thereto, transferred to the next transfer chamber 13, and processed in the processing chamber 71 connected thereto. Further, it is transferred to the transfer chamber 12, processed in the processing chamber 61 connected thereto, and comes out of the unload lock chamber 82.
  • Such a substrate transfer route is one-way and does not return, so that the substrate is advantageously not affected by contamination from the processing channels connected to each transfer chamber.
  • FIG. 2 is a plan view schematically showing the vacuum processing apparatus of the second embodiment.
  • the elements substantially the same as the elements described in FIG. 1 are given the same reference numerals, and duplicate explanations are omitted.
  • the vacuum processing apparatus 10 shown in FIG. 2 is provided with three transfer chambers 11, 12, 13 and the transfer chambers 11, 12, 13 are transferred to the inside.
  • the robot arm 11a, 12a, 13a is provided.
  • one common vacuum chamber 21 is provided substantially in the middle between the three transfer chambers 11 to 13.
  • the transfer chambers 11 to 13, the transfer robots lla to 13a, the common vacuum chamber 21 and the structures related to these elements are as described in the first embodiment.
  • vacuum chambers 31 and 32 are disposed adjacent to the left and right of the common vacuum chamber 21.
  • the vacuum chamber 31 is provided between the transfer chamber 11 and the transfer chamber 13.
  • a vacuum chamber 32 is provided between the transfer chamber 12 and the transfer chamber 13.
  • the vacuum chambers 31, 32 also have only one substrate mounting portion 31a, 32a as in the common vacuum chamber 21, and have a special substrate transfer mechanism.
  • the vacuum chamber 3 L 32 functions as a substrate delivery chamber and is used as a transfer path.
  • the vacuum chambers 31, 32 will be referred to as "delivery vacuum chambers 31, 32".
  • Gate valves 33 and 34 are provided between the delivery vacuum chamber 31 and the transfer chambers 11 and 13, respectively.
  • gate valves 35 and 36 are provided between the delivery vacuum chamber 32 and the transfer chambers 12 and 13. These gate valves 33 to 36 are opened and closed at appropriate timings by a control device (not shown).
  • the substrate is delivered by the transfer robot arms 11a and 13a of the transfer chambers 11 and 13 via the transfer vacuum chamber 31. Also, the substrates are delivered by the transfer robot arms 12a and 13a of the transfer chambers 12 and 13 via the delivery vacuum chamber 32.
  • each of the delivery vacuum chambers 31, 32 can be configured to also be used as a processing chamber that executes a predetermined processing process on the substrate.
  • processing processes such as heating, cooling, annealing, alignment, etc. are performed.
  • a gate valve 37 is also provided between the transfer chamber 11 and the transfer chamber 12.
  • the gate valve 37 is opened and closed at an appropriate timing by a control device (not shown).
  • the transfer robot arms 11a and 12a transfer the substrate between the transfer chambers 11 and 12 via the gate valve 37.
  • a transfer vacuum chamber having the same function as the transfer vacuum chambers 31 and 32 described above is provided, and between the transfer chambers 11 and 12 via the transfer vacuum chamber. It is also possible to configure to perform substrate delivery and predetermined processing processes.
  • one or more processing chambers are preferably connected to each of the three transfer chambers 11 to 13 via a gate valve 41.
  • two processing chambers 51 and 52 are connected to the transfer chamber 11
  • two processing chambers 61 and 62 are connected to the transfer chamber 12
  • a plurality of processing chambers connected to each of transfer chambers 11 to 13 preferably have vacuum level (pressure level), vacuum quality (content of contamination (content of contamination and Processing chambers belonging to the same category divided on the basis of Further, the contents of the processing performed in each of the processing chambers 51, 52, 61, 62, 71 to 75 are arbitrarily set according to the purpose of the processing substrate.
  • the circular portions shown in each processing chamber indicate the substrate mounting portion.
  • load lock chambers 81 and 82 are connected to the lower two transfer chambers 11 and 12 located on the lower left and right via gate valves 8 la and 82a.
  • a loading / unloading device 85 provided with a substrate loading / unloading robot 84 and a three substrate storage unit 86 are provided via a gate valve 83.
  • the vacuum processing apparatus 10 of the second embodiment is based on the basic configuration including the three transfer chambers 11 to 13 and the common vacuum chamber 21 in the central portion.
  • the degree of freedom in selection of the transport path for moving the substrate can be enhanced, and furthermore, the degree of freedom in combining various processing processes on the substrate can be enhanced.
  • FIG. 3 shows an example of the substrate transfer path in plan view in the transfer chambers 11 to 13 of the vacuum processing apparatus 10, the common vacuum chamber 21, and the delivery vacuum chambers 31, 32 and so on.
  • the gate valve on the transport path is opened and closed at an appropriate timing.
  • the substrate carried into the transfer chamber 11 through the load lock chamber 81 is held by the transfer robot arm 1 la of the transfer chamber 11 and maintained in the transfer chamber 11 (path R1).
  • the required processing process is performed on the substrate using, for example, the processing chambers 51 and 52 connected to the transfer chamber 11.
  • the substrate is transferred from the transfer chamber 11 to the delivery vacuum chamber 31 by the transfer robot arm 11a, and placed on the substrate mounting portion 31a (pathway R2).
  • the transfer robot arm 13a of the transfer chamber 13 takes out the substrate placed in the delivery vacuum chamber 31 and transfers the substrate into the transfer chamber 13 (pathway R3).
  • the substrate is held by the transfer robot arm 13a and maintained in the transfer chamber.
  • any one or more of the processing chambers 71-75 connected to the transfer chamber 13 are used to perform the required processing process on the substrate.
  • the transfer robot arm 13a of the transfer chamber 13 places the substrate on the substrate mounting portion 21a of the common vacuum chamber 21 (pathway R4).
  • the substrate in the common vacuum chamber 21 is transferred to the transfer chamber 11 by the transfer robot arm 1 la of the transfer chamber 11 (pathway R5).
  • the substrate held by the transfer robot arm 1 la in the transfer chamber 11 is delivered to the transfer robot arm 12a of the transfer chamber 12 via the gate valve 37 (pathway R6).
  • the substrate is held by the transfer robot arm 12 a in the transfer chamber 12 and maintained in the transfer chamber 12.
  • the required processing process is performed on the substrate using the processing chambers 61, 62 connected to the transfer chamber 12.
  • the substrate is transferred in the order of path R9 between the transfer vacuum chamber and the transfer vacuum chamber 32, path R10 between the transfer vacuum chamber 32 and the transfer chamber 12, and path R11 between the transfer chamber 12 and the drop chamber 82. .
  • a plurality of processing chambers connected to the transfer chambers are appropriately selected to be selected. It is possible to carry out the necessary treatment process on the substrate.
  • the vacuum processing apparatus 10 by using the configuration including the three transfer chambers 11 to 13 and the common vacuum chamber 21 as a basic configuration, the degree of freedom in selection of the transfer path of the substrate can be enhanced. It is possible to increase the degree of freedom in combining various processing processes on a substrate.
  • FIG. 4 conceptually shows only the layout of each chamber of the vacuum processing apparatus 10 in a schematic manner, and shows specific movement paths (R101 to R113) of the substrate corresponding to the substrate processing process. .
  • the same chamber as the chamber described in FIG. 2 is assigned the same reference numeral.
  • the transfer robot arms 1 la to 13 a provided in the transfer chambers 11 to 13 are not shown.
  • a substrate is set in load lock chamber 81. (Route R101).
  • the substrate in the load lock chamber 81 passes through the inside of the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (path R 102). Radical etching (pre-treatment process) is performed in the processing chamber 51 to clean the surface of the substrate.
  • the substrate in the processing chamber 51 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 103), and thereafter
  • the substrate on the substrate mounting portion is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R104).
  • heat treatment is performed on the substrate in the delivery vacuum chamber 31 to clean the surface of the substrate.
  • PVD Physical Vapor Deposition
  • the substrate in the processing chamber 71 is unloaded by the transfer robot arm 13a of the transfer chamber 13, transferred to the substrate placement unit in the common vacuum chamber 21 (pathway R105), and then transferred.
  • the transfer robot arm 1 la of the chamber 11 carries the substrate into the processing chamber 52 (pathway R106). In the processing chamber 52, a heating and plasma pretreatment process is performed.
  • the delivery vacuum chamber 31 passes through the common vacuum chamber 21 which is similar to the common vacuum chamber 21 and the substrate movement path is divided to eliminate the substrate waiting time. Throughput can be improved.
  • the substrate in the processing chamber 52 is transferred by the transfer robot arm 11 a of the transfer chamber 11 to the substrate mounting portion in the common vacuum chamber 21 (path R 107), and then, the transfer robot arm of the transfer chamber 12 12a carries the substrate into the processing chamber 61 (path R1 08).
  • a CVD (Chemical Vapor Deposition) processing process is performed to form a TiN film on the surface of the substrate.
  • the substrate in the processing chamber 61 is transferred by the transfer robot arm 12 a of the transfer chamber 12 to the substrate placement unit in the delivery vacuum chamber 32 (path R 109), and then the transfer robot of the transfer chamber 13.
  • the arm 13a carries the substrate into the processing chamber 73 (path R 110).
  • a PVD processing process is carried out to add to the surface of the substrate.
  • An Al film is formed in the heat state.
  • the substrate in the processing chamber 73 is carried into the processing chamber 74 by the transfer robot arm 13 a of the transfer chamber 13 (pathway R 111).
  • a PVD processing process is performed to form an anti-reflection film of TiN on the surface of the substrate.
  • the substrate in the processing chamber 74 is transferred by the transfer robot arm 13a of the transfer chamber 13 to the substrate placement unit in the delivery vacuum chamber 21 (path R112), and then, the transfer robot of the transfer chamber 12
  • the arm 12a carries the substrate into the load lock chamber 82 (pathway R113).
  • the movement of the substrate from the processing chamber 71 to the processing chamber 52, the movement of the substrate from the processing channel 52 to the processing chamber 61, and the movement of the substrate from the processing chamber 74 to the load lock channel 82 are simply described. Since only the passage is made, the movement path is commonly set so as to pass through the common vacuum chamber 21 so as to align with other processing times. This reduces latency and improves throughput. Furthermore, this makes it possible to make the apparatus configuration compact and achieve waste substrate transfer.
  • the vacuum processing apparatus 10 having the chamber layout configuration shown in FIG. 2 mentioned above, various processing processes are carried out based on the transfer path or movement path of the substrate shown in FIG. 3 or FIG. It can be combined with a high degree of freedom.
  • the vacuum processing apparatus 10 according to the present embodiment makes it possible to freely design the method of transporting the substrate to be processed, such as jumping and jumping of the processing processes. , Can increase the freedom of combination of treatment processes. Therefore, the efficiency and productivity of substrate processing can be increased, and the flexibility of layout of various processing chambers, transfer chambers, etc. can be increased.
  • the category is based on the vacuum level (pressure level) and the quality of vacuum (content and degree of contamination). To reduce the cross contamination of particulates and gases resulting from the interrelationship of different treatment processes.
  • the operation example 1 is a substrate processing process related to “contact filling”.
  • FIG. 5 shows a specific movement path (R201 to R213) of the substrate corresponding to the substrate processing process of the operation example 1 and is similar to FIG.
  • the same chamber as the chamber described in FIG. 2 is assigned the same reference numeral.
  • illustration of the transfer port bot arm lla to 13a provided in the transfer chambers 11 to 13 is omitted.
  • a substrate is set in the load lock chamber 81 (pathway R201).
  • the substrate in the load lock chamber 81 passes through the inside of the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (pathway R 202).
  • a chemical pretreatment process is performed in the processing chamber 51.
  • the substrate in the processing chamber 51 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the processing chamber 52 (pathway R 203).
  • a heating and plasma pretreatment process is performed in the processing chamber 52.
  • the substrate in the processing chamber 52 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 204), and thereafter
  • the substrate on the substrate placement unit is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R205).
  • the substrate is preheated in the delivery vacuum chamber 31.
  • the processing time in the processing chamber 71 can be shortened.
  • PVD Physical Vapor Deposition
  • the substrate in the processing chamber 71 is unloaded by the transfer robot arm 13a of the transfer chamber 13, transferred to the substrate placement unit in the common vacuum chamber 21 (path R206), and then transferred.
  • the transfer robot arm 12a of the chamber 12 carries the substrate into the processing chamber 61 (path R207).
  • an atomic layer deposition (ALD) processing process is performed by CVD.
  • a preheating process is performed in the common vacuum chamber 21, a preheating process is performed. As a result, the processing time in the processing chamber 61 can be shortened.
  • the substrate in the processing chamber 61 is moved by the transfer robot arm 12 a of the transfer chamber 12.
  • the transfer robot arm 13a of the transfer chamber 13 carries the substrate into the processing chamber 72 (path R 209).
  • a PVD processing process is performed to form a seed layer of A1 in a heated state on the surface of the substrate.
  • a cooling process is performed in the delivery vacuum chamber 32. Thereby, the processing time in the processing chamber 72 can be shortened.
  • the transfer path of the substrate is divided by the common vacuum chamber 21 and the delivery vacuum chamber 32, and the processing time of the substrate is matched by differentiating the processing process, the waiting time of the substrate is eliminated, and the throughput is improved. Be able to respond to flexible processing processes.
  • the substrate in the processing chamber 72 is carried into the processing chamber 73 by the transfer robot arm 13a of the transfer chamber 13 (pathway R210).
  • the PVD performs an embedding processing process of A1 in the hole.
  • the substrate in the processing chamber 73 is transferred by the transfer robot arm 13a of the transfer chamber 13 to the substrate placement unit in the common vacuum chamber 21 (pathway R211), and then the transfer robot arm of the transfer chamber 12 12a carries the substrate into the processing chamber 62 (path R2 12).
  • a CVD processing process is performed to form an anti-reflection film of TiN on the surface of the substrate.
  • a preheating process is performed in the common vacuum chamber 21, a preheating process is performed. As a result, the processing time in the processing chamber 62 can be shortened.
  • the substrate in the processing chamber 62 is carried into the load lock chamber 82 by the transfer robot arm 12 a of the transfer chamber 12 (path R 213).
  • the transfer of the substrate from the processing chamber 71 to the processing chamber 61, the transfer of the substrate from the processing chamber 73 to the processing chamber 62, the heat treatment in the common vacuum chamber 21 is performed. It can be made common, it is possible to make the configuration of the apparatus compact, and wasteful transfer of the substrate can be achieved.
  • This operation example 2 is a substrate processing process related to “contact barrier formation”.
  • FIG. 6 shows a specific movement path (R301 to R308) of the substrate corresponding to the substrate processing process of the operation example 2 and is a view similar to FIG. 6 identical to the chamber described in FIG.
  • the chambers are given the same reference numerals.
  • the transfer robot arms lla to 13a provided in the transfer chambers 11 to 13 are not shown.
  • a substrate is set in the load lock chamber 81 (pathway R301).
  • the substrate in the load lock chamber 81 passes through the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (pathway R 302).
  • Chemical processing processes are performed in the processing chamber 51.
  • the substrate in the processing chamber 51 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the processing chamber 52 (pathway R 303).
  • the processing chamber 52 heating and plasma processing processes are performed.
  • the substrate in the processing chamber 52 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 304), and thereafter
  • the substrate on the substrate mounting portion is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R305).
  • the substrate is preheated in the delivery vacuum chamber 31.
  • the processing time in the processing chamber 71 can be shortened.
  • PVD Physical Vapor Deposition
  • the substrate in the processing chamber 71 is unloaded by the transfer robot arm 13a of the transfer chamber 13, transferred to the substrate placement unit in the delivery vacuum chamber 32 (pathway R306), and thereafter, The transfer robot arm 12a of the transfer chamber 12 transfers the substrate to the processing chamber 61 (pathway R307).
  • a deposition process of TiN is performed by atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • processing of preliminary heat is performed. As a result, the processing time in the processing chamber 61 can be shortened.
  • the substrate in the processing chamber 61 is carried into the load lock chamber 82 by the transfer robot arm 12a of the transfer chamber 12 (pathway R308).
  • the heat treatment in the delivery vacuum chambers 31, 32 is distributed to the common vacuum chamber 21, and the heat treatment is also performed in the common vacuum chamber 21. It is possible. This allows alignment with other processing times, reduces latency, and improves throughput.
  • This operation example 3 is a substrate processing process according to “via formation (filling with A1)”.
  • FIG. 7 shows a specific movement path (R401 to R410, R417 and R418) of the substrate corresponding to the substrate processing process of the operation example 3 and is similar to FIG.
  • the same chamber as the chamber described in FIG. 2 is assigned the same reference numeral.
  • the transfer robot arms lla to 13a provided in the transfer chambers 11 to 13 are not shown.
  • a substrate is set in the load lock chamber 81 (pathway R401).
  • the substrate in the load lock chamber 81 passes through the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (pathway R 402).
  • a chemical processing process is performed to pre-etch the substrate surface.
  • the substrate in the processing chamber 51 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 403), and thereafter
  • the substrate on the substrate mounting portion is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R404).
  • heat treatment is performed on the substrate in the delivery vacuum chamber 31 to clean the surface of the substrate.
  • PVD Physical Vapor Deposition
  • the substrate in the processing chamber 71 is unloaded by the transfer robot arm 13a of the transfer chamber 13, and loaded into the adjacent processing chamber 72 (pathway R405).
  • a PVD process is performed to form a TiN film on the surface of the substrate.
  • the substrate in the processing chamber 72 is unloaded by the transfer robot arm 13a of the transfer chamber 13, and further loaded into the adjacent processing chamber 73 (pathway R406).
  • a PVD process is performed to form a Ti film on the surface of the substrate.
  • the substrate in the processing chamber 73 is transferred by the transfer robot arm 13 a of the transfer chamber 13 to the substrate placement unit in the delivery vacuum chamber 32 (path R 407), and then transferred.
  • the transfer robot arm 12a of the transfer chamber 12 carries the substrate into the processing chamber 61 (path R 408).
  • a PVD processing process is performed to form a seed layer of Al on the surface of the substrate.
  • a cooling process is performed in the delivery vacuum chamber 32, and the processing time in the processing chamber 61 can be shortened.
  • the substrate in the processing chamber 61 is unloaded by the transfer robot arm 12a of the transfer chamber 12, and is further loaded into the adjacent processing chamber 62 (pathway R409).
  • the PVD performs an embedding processing process of Al in the hole.
  • the substrate in the processing chamber 62 is carried into the load lock chamber 82 by the transfer robot arm 12a of the transfer chamber 12 (pathway R410).
  • the substrate transfer is distributed to the common vacuum chamber 21 and the cooling process is also performed in the common vacuum chamber 21. It can also be configured to do. This makes it possible to match the time of other processes, reduce the waiting time in substrate movement, and improve the throughput.
  • This operation example 4 is a substrate processing process according to the “salicide process”.
  • FIG. 8 shows a specific movement path (R501 to R509) of the substrate corresponding to the substrate processing process of the operation example 4 and is similar to FIG.
  • the same chamber as the chamber described in FIG. 2 is assigned the same reference numeral.
  • the transfer robot arms lla to 13a provided in the transfer chambers 11 to 13 are not shown.
  • a substrate is set in the load lock chamber 81 (pathway R501).
  • the substrate in the load lock chamber 81 passes through the inside of the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (path R 502).
  • a chemical processing process is performed in the processing chamber 51.
  • the substrate in the processing chamber 51 is moved by the transfer robot arm 11 a of the transfer chamber 11. Then, it is transferred to the processing chamber 52 through the transfer chamber 11 (path R 503). In the processing chamber 52, heating and plasma processing processes are performed.
  • the substrate in the processing chamber 52 is transferred by the transfer robot arm 11 a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 504), and thereafter
  • the substrate on the substrate mounting unit is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R505).
  • the substrate is preheated in the delivery vacuum chamber 31.
  • a PVD process is performed to sputter deposit a rare earth metal such as Co, Ni, Ti or Y, Yb, Er on the surface of the substrate.
  • the substrate in the processing chamber 71 is unloaded by the transfer robot arm 13a of the transfer chamber 13, and loaded into the adjacent processing chamber 72 (pathway R506).
  • a PVD process is performed to form a TiN film on the surface of the substrate.
  • the substrate in the processing chamber 72 is carried out by the transfer robot arm 13a of the transfer chamber 13, transferred to the substrate placement unit in the delivery vacuum chamber 32 (path R507), and thereafter, The transfer robot arm 12a of the transfer chamber 12 transfers the substrate into the processing chamber 61 (path R508).
  • RTP Rapid Thermal Process
  • the substrate in the processing chamber 61 is carried into the load lock chamber 82 by the transfer robot arm 12a of the transfer chamber 12 (pathway R509).
  • the heat treatment in the delivery vacuum chamber 31 can be distributed to the common vacuum chamber 21, and preheating can also be performed in the common vacuum chamber 21. This makes it possible to match with other processing times, reduce latency, and improve throughput.
  • the operation example 5 is a substrate processing process related to “a source Z drain salicide process”.
  • FIG. 9 shows a specific movement path (R601 to R610, R616, R617) of the substrate corresponding to the substrate processing process of the operation example 5, and is a view similar to FIG. Figure 9
  • the same reference numerals as in FIG. 2 denote the same chambers.
  • the transfer robot arms 1 la to 13 a provided in the transfer chambers 11 to 13 are not shown.
  • the substrate is set in the load lock chamber 81 (pathway R601).
  • the substrate in the load lock chamber 81 passes through the inside of the transfer chamber 11 by the transfer port bot arm 11 a of the transfer chamber 11 and is further carried into the processing chamber 51 (pathway R 602).
  • a chemical processing process is performed in the processing chamber 51.
  • the substrate in the processing chamber 51 is transferred by the transfer robot arm 11a of the transfer chamber 11 through the transfer chamber 11 to the processing chamber 52 (pathway R603).
  • the processing chamber 52 heating and plasma processing processes are performed.
  • the substrate in the processing chamber 52 is transferred by the transfer robot arm 11a of the transfer chamber 11 through the transfer chamber 11 to the delivery stage of the delivery vacuum chamber 31 (path R 604), and thereafter
  • the substrate on the substrate mounting portion is transported into the transport chamber 13 by the transport robot arm 13a of the transport chamber 13, and is further carried into the processing chamber 71 (pathway R605).
  • the substrate is preheated in the delivery vacuum chamber 31.
  • the processing time in the processing chamber 71 can be shortened.
  • a Si Epi film is deposited.
  • the substrate in the processing chamber 71 is carried out by the transfer robot arm 13a of the transfer chamber 13, transferred to the substrate placement unit in the delivery vacuum chamber 32 (path R 606), and thereafter, The transfer robot arm 12a of the transfer chamber 12 transfers the substrate into the processing chamber 61 (path R 607).
  • a PVD process is performed to form a rare earth metal film such as Co, Ni, T or Y, Yb, Er on the surface of the substrate.
  • a preheating process is performed in the delivery vacuum chamber 32. As a result, the processing time in the processing chamber 61 can be shortened.
  • the substrate in the processing chamber 61 is unloaded by the transfer robot arm 12 a of the transfer chamber 12 and further loaded into the adjacent processing chamber 62 (pathway R 608). Processing chamber 62 Then, a PVD deposition process is performed as a cap layer.
  • the substrate in the processing chamber 62 is carried out by the transfer robot arm 12a of the transfer chamber 12, and placed on the substrate mounting portion of the common vacuum chamber 21 (pathway R609).
  • a heat treatment process is performed in the common vacuum chamber 21, a heat treatment process is performed.
  • the substrate in the common vacuum chamber 21 is carried into the load lock chamber 82 by the transfer robot arm 12a of the transfer chamber 12 (pathway R610).
  • post-annealing can be performed in the common vacuum chamber 21.
  • the substrate can be uniformly heated by gas heating.
  • the common vacuum chamber 21 and the delivery vacuum chambers 31 and 32 can be used for substrate heating and cooling processes and alignments that are not only used as substrate transfer paths.
  • FIGS. 10A and 10B both show a view similar to FIG. 4 and show the transport path of the substrate.
  • the same reference numerals are given to the same chambers as the chambers described in FIG. 2, and the description thereof will be omitted.
  • the transfer robot arms lla to 13a provided in the transfer chambers 11 to 13 are not shown.
  • the transfer path of the substrate relative to the processing chamber is not shown.
  • Path R 651 is a transport path in which the substrate enters the load lock chamber 81 force, moves in the order of the transport chamber 11, the transport chamber 13, and the transport chamber 11 and exits the load lock chamber 81 again.
  • the delivery vacuum chamber 31 and the common vacuum chamber 21 are used to transfer the substrate.
  • path R 652 is a transfer path which enters from substrate force load lock chamber 82, moves in order of transfer chamber 12, transfer chamber 13, and transfer chamber 12, and exits load lock chamber 82 again.
  • the delivery vacuum chamber 32 and the common vacuum chamber 21 are used to transfer the substrate.
  • the transfer chamber 13 is used as a common transfer chamber for the left and right paths R651 and R652.
  • the left and right transfer chambers 11, 12 are used as transfer chambers for different substrate processing processes. [0150]
  • the substrate transfer path As in the path R651, R652 shown in FIG. 10A, it is possible to simultaneously use the common substrate transfer path on the left and right for two different substrate processing processes on the left and right. Because it is possible, it is possible to use the vacuum processing system 10 efficiently by properly using the left and right two processes simultaneously.
  • Path R 661 is a transfer path in which the substrate enters from the load lock chamber 81, moves in the order of the transfer chamber 11, the transfer chamber 13, and the transfer chamber 12 and exits from the load lock channel 82.
  • the delivery vacuum chamber 31 and the common vacuum chamber 21 are used to transfer the substrate.
  • the path R 662 is a transfer path in which the substrate enters from the first drop chamber 82, moves in the order of the transfer chamber 12, the transfer chamber 13, and the transfer chamber 11 and exits the load lock chamber 81.
  • the delivery vacuum chamber 32 and the common vacuum chamber 21 are used to transfer the substrate.
  • the transfer chamber 13 is used as a common transfer chamber for the left and right paths R661 and R662.
  • the left and right transfer chambers 11, 12 are used as transfer chambers for different substrate processing processes.
  • FIG. 11 schematically shows the vacuum processing apparatus 101 according to the third embodiment by a diagram. Elements substantially the same as the elements shown in FIG. 2 are assigned the same reference numerals.
  • the vacuum processing apparatus includes three transfer chambers 11, 12, and 13, and each transfer chamber is provided with a processing chamber 51, 52, 61, 62, 71 to 75, respectively. Further, load lock chambers 81 and 82 are provided in the transfer chambers 11 and 12, respectively.
  • the transfer robot arms 11a, 12a and 13a are provided on the inner side of the three transfer chains 11, 12 and 13, respectively, but the illustration thereof is omitted.
  • An intermediate position of the three transfer chambers 11 to 13 is provided with a common vacuum chamber 102.
  • the function of the common vacuum chamber 102 is the same as the function of the common vacuum chamber 21 described above.
  • a characteristic configuration of the common vacuum chamber 102 is that it has two substrate mounting portions 102a and 102b inside.
  • the transfer robot arms lla to 13a of the transfer chambers 11 to 13 are in reachable positions with respect to the two substrate mounting portions 102a and 102b of the common vacuum chamber 102.
  • the transfer robot arms lla to 13a of the transfer chambers 11 to 13 communicate the two substrate placement parts 102a and 102b of the common vacuum chamber 102 with the substrate placement parts such as other processing chambers and delivery vacuum chambers.
  • the substrates can be freely transferred to and from each other.
  • FIG. 11 the illustration of the delivery vacuum chambers arranged on both sides of the common vacuum chamber 102 is omitted for the convenience of the description.
  • the vacuum processing apparatus 301 has a chamber layout configuration provided with four transfer chambers 11, 12, 13, 302.
  • the connection structure of the transfer chamber is schematically shown as a plan view. Therefore, the illustration of the transfer robot arm, the substrate placement unit, the gate valve, etc. is omitted.
  • the transfer chambers 11, 12, 13 and the common vacuum chamber 21 are substantially the same as those described in the vacuum processing apparatus 10 according to the first embodiment. Further, the configuration and operation regarding delivery of the substrates of the transfer chambers 11 to 13 to the common vacuum chamber 21 are the same as those described in the first embodiment.
  • the added transfer chamber 302 has a transfer robot arm (not shown) in the same manner as the transfer chambers 11 to 13, and has basically the same configuration as the transfer chambers 11 to 13. . Therefore, it is possible to deliver the substrate to be processed from the transfer chamber 302 to the common vacuum chamber 21 as well.
  • a vacuum processing apparatus 301 having four transfer chambers 11, 12, 13, and 302, load lock chambers 81 and 82 are provided in the first transfer chamber 11 and the fourth transfer chamber 302, respectively! .
  • the two vacuum chambers 303 and 304 are respectively disposed between the transfer chamber 11 and the transfer chamber 12 as vacuum chambers other than the common vacuum chamber 21 described above for the purpose of enhancing the productivity.
  • And between the transfer chamber 13 and the transfer chamber 302. The number and position of other vacuum chambers attached other than the common vacuum chamber 21 can be arbitrarily selected.
  • the other configuration in the vacuum processing apparatus 301 is basically the same as the configuration of the above-described embodiment.
  • Each of the four transfer chambers 11, 12, 13, and 302 has one or more processing chambers. However, in FIG. 12, for convenience, only one treatment channel 51, 61, 71, 305 is shown in each of the transfer chambers 11, 12, 13, 302!
  • the vacuum processing apparatus 301 is used to carry out a processing process of in situ epitaxial doping in the source Z drain.
  • each of boron (B) and phosphorus (P) is treated with a large doping amount of 10 2 Q cm 3 or more. Therefore, when performing an exhaust in the conveying chamber, boron or phosphorus remaining in the transfer chamber, even under pressure reached 10- 7 Pa, so that about 10 +7 cm- 3 remains. Therefore, it is necessary to use separate transfer chambers corresponding to each of the boron treatment process and the phosphorus treatment process.
  • the substrate is transported to the processing chamber 51 by the route R 701 of the transport robot arm of the transport chamber 11, and the pretreatment process is performed here.
  • the transport chamber The substrate is transported to the processing chamber 61 by the path R 702 by the transfer robot arm 11 and 12 and the transfer vacuum arm 303 and the transfer vacuum chamber 303, where the boron doping process is performed.
  • the transfer chamber 12 302 The substrate is transferred to the processing chamber 305 by the transfer robot arm and the common vacuum chamber 21 via the path R703, and then the RTP (Rapid Thermal Process) is executed.
  • the route by the transfer robot arm of the transfer chamber 302 Loaded into load lock chamber 82 at R704.
  • different transfer chambers can be selected by appropriately selecting any three of the four transfer chambers 11, 12, 13, and 302.
  • process route By making the process route a separate route, it is possible to eliminate the mutual influence of the treatment process.
  • the arbitrary three of the four transfer chambers 11, 12, 13, and 302 can be selected appropriately. It is also possible to use as the vacuum processing apparatus 10 according to the second embodiment.
  • FIG. 13 shows an example of the overall configuration of a semiconductor device manufacturing system
  • FIG. 14 shows the flow of the entire manufacturing process of semiconductor devices
  • FIG. 15 is implemented in the semiconductor device manufacturing system of FIG. The flow of a wafer process is shown.
  • the semiconductor device manufacturing system shown in FIG. 13 generally shows an in-line manufacturing system.
  • Reference numeral 401 denotes a substrate transfer path for transferring the substrate processed in each process to the next process.
  • An apparatus corresponding to each process is installed along the substrate transfer path 401.
  • the above-described vacuum processing apparatus 10 according to the present invention is installed.
  • the second step A resist coater 402 is installed as a process, a stepper 403 as an exposure apparatus as a second process, a resist developer 404 as a third process, and an etcher 404 as a fourth process.
  • step S1 circuit design
  • step S2 mask fabrication
  • step S3 wafer manufacture
  • step S4 wafer process
  • step S5 wafer process
  • step S6 inspections such as operation check test and durability test of the semiconductor device manufactured in step S5 are performed. After these steps, a semiconductor device is completed and shipped (step S7).
  • step S4 the semiconductor device manufacturing system shown in FIG. 13 is used in a wafer process.
  • step S4 an example of a wafer process performed in the semiconductor device manufacturing system will be described.
  • step S 11 the surface of the wafer is oxidized.
  • step S12 CVD process
  • step S 13 electrode formation step
  • a conductive film to be an electrode is formed on the wafer by vapor deposition such as PVD or CVD.
  • steps S11 to S13 can be performed in the vacuum processing apparatus 10 described above.
  • the processing steps in at least three processing chambers of the vacuum processing apparatus of the present invention are not limited to the three steps of oxidation step, CVD step and electrode formation step, and in addition to these steps, tallying of the substrate, Various treatment powers such as nitriding, PVD, and plasma treatment can be appropriately selected.
  • step S14 ion implantation step
  • step S 15 resist processing step
  • a photosensitizing agent is applied to Ueno.
  • Step S1 5 is performed by the resist core 402.
  • step S 16 exposure step
  • the circuit pattern of the mask is printed and exposed on the wafer by the above-described exposure apparatus.
  • step S 16 is performed in step 403.
  • step S 17 development step
  • step S17 the exposed wafer is developed.
  • step S17 is performed by the resist developer 404.
  • step S18 etching step
  • step S18 is performed by the etcher 405.
  • step S 19 resist stripping step
  • the resist which has been etched and has become unnecessary is removed.
  • Step S19 is performed by a resist removing apparatus (not shown) in this example.
  • the above-described vacuum processing apparatus 10 can also be provided with a processing chamber having a function of performing the etching step of step S 18 and the resist stripping step of step S 19 described above.
  • circuit patterns are formed on the wafer.
  • the production equipment used in each process is maintained by, for example, a remote maintenance system.
  • the degree of freedom in selecting the transport path of the substrate to be processed is enhanced, and the degree of freedom in combining the processing processes of the substrate is increased. Used to improve productivity and productivity.
  • FIG. 1 is a plan view schematically showing a first embodiment which is a basic configuration of a vacuum processing apparatus according to the present invention.
  • FIG. 2 is a plan view schematically showing a second embodiment of the vacuum processing apparatus according to the present invention.
  • FIG. 3 is a plan view showing an example of a substrate transfer path in a vacuum processing apparatus according to a second embodiment.
  • FIG. 4 is a moving path diagram of a substrate for illustrating a typical operation example of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 5 A movement path diagram of a substrate for illustrating an operation example 1 of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 6 A movement path diagram of a substrate for illustrating an operation example 2 of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 7 A movement path diagram of a substrate for illustrating an operation example 3 of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 8 A movement path diagram of a substrate for illustrating an operation example 4 of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 9 A movement path diagram of a substrate for illustrating an operation example 5 of a substrate processing process performed using the vacuum processing apparatus of the second embodiment.
  • FIG. 10A is a substrate transport route diagram for illustrating a first example of how to set the substrate transport route of the vacuum processing apparatus of the second embodiment.
  • FIG. 10B is a substrate transport route diagram for describing a second example of how to set the substrate transport route of the vacuum processing apparatus of the second embodiment.
  • FIG. 11 is a plan view schematically showing a third embodiment of the vacuum processing apparatus according to the present invention.
  • FIG. 12 is a plan view schematically showing a fourth embodiment of the vacuum processing apparatus according to the present invention.
  • FIG. 13 is an entire configuration diagram showing an embodiment of a semiconductor device manufacturing system using a vacuum processing apparatus according to the present invention.
  • FIG. 14 is a flow chart showing the flow of the entire manufacturing process of the semiconductor device implemented in the semiconductor device manufacturing system according to the present embodiment.
  • FIG. 15 is a flowchart showing in detail an example of a wafer process flow implemented in the semiconductor device manufacturing system shown in FIG.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

 この真空処理装置(1,10,101、301)は、基板を搬送する搬送ロボットアーム(11a,12a,13a)を備える少なくとも3つの搬送チャンバ(11,12,13)と、各搬送チャンバに接続される1つ以上の処理チャンバ(51,52,61,62,71-75)と、内部に1つ以上の基板載置部(21a;102a,102b)が設けられ、少なくとも3つの搬送チャンバの各々の搬送ロボットアームが基板載置部に対して到達可能となるような位置に配置され、少なくとも2つの搬送チャンバの各々と少なくとも1つの基板載置部の間で搬送ロボットアームによる基板の受渡しを行うための1つの共通真空チャンバ(21,102)と、少なくとも1つの搬送チャンバに接続されたロードロックチャンバ(81,82)とを備えている。

Description

明 細 書
真空処理装置、半導体デバイス製造方法および半導体デバイス製造シス テム
技術分野
[0001] 本発明は、簡素かつコンパクトなチャンバレイアウトにより複雑な基板処理プロセス を可能にした真空処理装置、この真空処理装置を利用した半導体デバイス製造方 法および半導体デバイス製造システムに関する。
背景技術
[0002] 半導体ウェハ等の基板を処理して半導体デバイスを製造するために利用される真 空処理装置は、近年、デバイス構造の多層化、スループットの向上等の観点から、 1 台の装置で各種処理プロセスを真空中で一貫して行うための複数の処理チャンバを 備える傾向にある。各種の処理プロセスの例としては、半導体ウェハの場合、成膜処 理プロセス (スパッタリング、 CVD等)、酸化処理プロセス、拡散処理プロセス、エッチ ング処理プロセス、ァニール処理プロセス、その他の各種の前処理または後処理の プロセス等である。このような真空処理装置の装置形態としてはインライン型あるいは クラスタ型等の形態がある。この真空処理装置では、通常、ロードロックチャンバを経 由して複数の基板をカセットで搬入し、当該複数の基板の各々を順次に所定手順に 従って搬送領域、受渡し領域、処理領域に取り入れて枚葉式にて処理するようにし て 、る。 2以上の搬送チャンバを備えた従来の真空処理装置を開示する先行技術文 献として、例えば、下記のごとき 4件の特許文献 1〜4を挙げる。
[0003] 特許文献 1 (特開平 4 199709号公報)に記載される装置は、 1以上の処理室と 接続される搬送室(31〜36)を備える連続処理装置である。この連続処理装置では 、搬送室(31〜36)で被処理物を搬送し、各処理室によって 1種類以上の処理が連 続的に行われる。搬送室は 2以上設けられ、さらに 2つの搬送室の間にはこれらを接 続するバッファ室(41〜45)が設けられて!/、る。
[0004] 特許文献 2 (特開 2000— 150618号公報)に記載される装置は、基板等の被処理 体を処理する真空処理システムであり、スパッタ処理室や CVD処理室等の複数の処 理ユニットを備える。この真空処理システムでは、被処理体を搬送する搬送アームを 有する搬送室(16, 30)を 2つ備え、かつ 2つの搬送室(16, 30)の各々には少なくと も 1つの処理ユニットがゲート弁を介して連結されている。 2つの搬送室の間には、内 部に載置台(40)を有して真空引き可能になされた中間パス室(38)が設けられて 、 る。 2つの搬送室およびその間の中間パス室との構成により、被処理体の搬送に関 する搬送経路の複雑さを回避し、もってスループットを向上するようにして 、る。
[0005] 特許文献 3 (特開平 7— 288238号公報)に記載される装置は、 1台の装置に搬入 された複数の基板のそれぞれに対して複数の処理チャンバのそれぞれで別個に異 なる処理プロセスを実行するマルチチャンバプロセス装置である。このマルチチャン バプロセス装置では、受渡しモジュールを介して直列的に接続された第 1搬送モジュ 一ルと第 2搬送モジュールを備えて成り、処理対象である基板の搬送経路に関して、 元に戻る経路を作らな 、ようにして 、る。
[0006] 特許文献 4 (特開 2003— 59999号公報)に記載される処理システムは、 1つの真 空処理システムで、 1つの共通搬送室(36)と 2つの個別搬送室(72)を備え、全部で 3つの搬送室を備えて 、る。 1つの共通搬送室には複数の処理装置(34A〜34D) が接続され、 2つの個別搬送室の各々には例えば 1つの前段処理装置(110)が接 続されている。また 2つの個別搬送室(72)は、それぞれ、個別の第 2バッファ室(74) を介在させて共通搬送室(36)に接続されている。
[0007] 半導体デバイス製造用の真空処理装置については、今後に予想または期待される 半導体デバイスの多層構造の製造で要求される複雑な基板処理プロセスに対して、 各種の処理チャンバ等に関して簡素かつコンパクトなチャンバレイアウトによって対応 できることが強く望まれている。
[0008] 上記の要求に対して、特に、特許文献 1, 2で開示される真空処理装置は、不満足 なものであった。すなわち、特許文献 1, 2で開示される真空処理装置は、複雑な基 板処理プロセスに対応しょうとすると、コンパクト性を維持できな 、装置構成であった
[0009] また特許文献 4に記載された処理システムでは、 3つの搬送室を備えている力 こ れらの 3つの搬送室の間において、共通の 1つの基板受渡し用共通真空室を備える ものではない。そのため、複雑な基板処理プロセスに対応できるコンパクトなチャンバ レイアウトを実現できない。
[0010] 以上のごとぐ複雑な基板処理プロセスに対応する従来の真空処理装置は、簡素 かつコンパクトなチャンバレイアウトを実現するという課題を達成するに十分な装置構 成を提案するものではな力つた。
特許文献 1 :特開平 4— 199709号公報
特許文献 2 :特開 2000— 150618号公報
特許文献 3:特開平 7— 288238号公報
特許文献 4:特開 2003— 59999号公報
発明の開示
発明が解決しょうとする課題
[0011] 本発明が解決しょうとする課題は、複雑な基板処理プロセスに対応することができ る真空処理装置であって、このような真空処理装置を従来より簡素かつコンパクトな チャンバレイアウトで実現できる装置構成を提案するものである。
[0012] すなわち本発明は、上記の課題に鑑み、従来より簡素かつコンパクトなチャンバレ ィアウトによって複雑な基板処理プロセスに対応することができる真空処理装置を提 供することを目的とする。
[0013] さらに本発明は、上記の真空処理装置を利用して成る半導体デバイス製造方法、 および上記の真空処理装置を利用して構成される半導体デバイス製造システムを提 供することを目的とする。
[0014] 本発明に係る真空処理装置、半導体デバイス製造方法、および半導体デバイス製 造システムは、上記の目的を達成するために、次のように構成される。
[0015] 本発明の真空処理装置は、それぞれ内部に、基板を搬送するための搬送ロボット アームを備える少なくとも 3つの搬送チャンバと、搬送チャンバの各々に少なくとも 1つ 接続され、内部で基板を処理するための処理チャンバと、内部に 1つ以上の基板載 置部が設けられ、少なくとも 3つの搬送チャンバの各々の搬送ロボットアームが基板 載置部に対して到達可能に配置され、少なくとも 2つの搬送チャンバの各々と少なく とも 1つの基板載置部の間で搬送ロボットアームによる基板の受渡しを行うための 1つ の共通真空チャンバと、少なくとも 1つの搬送チャンバに接続されたロードロックチヤ ンバとを備える。
[0016] 上記の真空処理装置では、 3つの搬送チャンバとそれらの中間的位置に設けられ た基板受渡し用共通真空チャンバとから構成される簡素かつコンパクトなチャンバレ ィアウトによって、複雑な基板処理プロセスに対応することができる。
[0017] 上記の構成において、好ましくは、搬送チャンバの搬送ロボットアームは、共通真空 チャンバ内の基板載置部に基板を移送することが可能である。
[0018] 上記の構成において、好ましくは、搬送チャンバが 4つ以上備えられる。
[0019] 上記の構成において、好ましくは、少なくとも 3つの搬送チャンバのうち少なくとも 1 組の隣接する 2つの搬送チャンバの間に 1つの受渡し真空チャンバが設けられる。
[0020] 上記の構成において、好ましくは、 2つの搬送チャンバの各々に個別にロードロック チャンバを設けている。
[0021] 上記の構成において、好ましくは、共通真空チャンバは、回転可能な基板載置部と ァライメント用センサとを備え、これにより基板のァライメントを行う機能を有する。
[0022] 上記の構成において、好ましくは、共通真空チャンバは、加熱用のヒータステージと 冷却用のクーリングステージのうちのいずれか一方または両方を備え、これにより基 板の加熱 Z冷却を行う機能を有する。
[0023] 上記の構成において、好ましくは、共通真空チャンバは、基板の表面状態をモニタ するモニタ装置を備え、これにより基板のモニタ機能を有する。
[0024] 上記の構成において、好ましくは、共通真空チャンバは、基板を複数枚を収納でき るカセットと、このカセットを上下させる機構と、カセットを回転させる回転機構とを備え
、これにより基板のストッカー機能を有する。
[0025] 上記の構成において、好ましくは、搬送チャンバ、共通真空チャンバ、および受渡 し真空チャンバの各々はゲートバルブで仕切られて 、る。
[0026] 上記の構成において、好ましくは、同一の搬送チャンバに接続される複数の処理チ ヤンバは、処理圧力と処理による汚染の内容と程度に基づいて分けられた同一カテ ゴリに属する処理チャンバである。
また上記の構成において、好ましくは、共通真空チャンバは、基板のァライメント、 基板の加熱、基板の冷却、基板の表面状態のモニタ、基板のストックから選択される 少なくともいずれ力 1つを実施するチャンバである。
[0027] 本発明の半導体デバイス製造方法は、上記の真空処理装置を用意し、真空処理 装置を用いて、少なくとも 3つ処理を基板に施す方法である。
さらに、本発明の半導体デバイス製造方法は、ウェハプロセスを含む半導体デバイ ス製造方法であり、このウェハプロセスは、上記の真空処理装置に備えられる少なくと も 3つ処理チャンバのうちの第 1の処理チャンバで実施される酸ィ匕工程と、第 2の処理 チャンバで実施される CVD工程と、第 3の処理チャンバで実施される電極形成工程 とを含んでいる。
[0028] 上記の半導体デバイス製造方法であって、好ましくは、ウェハプロセスは、真空処 理装置で酸ィ匕工程と CVD工程と電極形成工程が実施された後に、真空処理装置以 外の他の装置で実施されるレジスト処理工程、露光工程、現像工程、エッチング工程 を含む。
[0029] 本発明の半導体デバイス製造システムは、ウェハプロセスを実施するための上記の 真空処理装置、レジストコ一ター、ステッパー、レジストデベロッパー、エッチヤーを含 む半導体デバイス製造システムであり、ウェハプロセスは少なくとも 3種類の基板の処 理工程を含み、真空処理装置は、少なくとも 3種類の基板の処理工程を実施する。 さらに本発明の半導体デバイス製造システムは、ウェハプロセスを実施するための 上記真空処理装置、レジストコ一ター、ステッパー、レジストデベロッパー、エッチヤー を含む半導体デバイス製造システムであり、ウェハプロセスは酸ィ匕工程と CVD工程と 電極形成工程を含み、上記真空処理装置は、酸化工程を実施する第 1の処理チヤ ンバと、 CVD工程を実施する第 2の処理チャンバと、電極形成工程を実施する第 3の 処理チャンバとを備える。
[0030] 上記の構成において、好ましくは、真空処理装置で酸化工程と CVD工程と電極形 成工程が実施された後に、レジストコ一ターはレジスト処理工程を実施し、ステッパー は露光工程を実施し、レジストデベロッパーは現像工程を実施し、エッチヤーはエツ チング工程を実施する。
発明の効果 [0031] 本発明によれば、真空処理装置において、それぞれ 1つ以上の処理チャンバを接 続した少なくとも 3つの搬送チャンバと、これらの 3つの搬送チャンバの間の中間的位 置にあり、 3つの搬送チャンバに接続した 1つの基板受渡し用共通真空チャンバとに 基づいて、チャンバレイアウトの基本的構成を実現する。このため、それ自体の装置 構成で、またはその他の基板受渡し真空チャンバと組み合せることにより、従来より簡 素かつコンパクトなチャンバレイアウトを維持したまま、基板の搬送経路の共通化や 基板処理の共通化の可能性を広げることができ、複雑な基板処理プロセスを実現す ることがでさる。
[0032] また 3つの搬送チャンバとそれらの間の 1つの共通真空チャンバから成る基本的構 成を利用することによって、真空処理装置における基板搬送経路の選択自由度を高 め、基板処理プロセスの組合せ自由度を高くすることができ、複雑な基板処理プロセ スに対応することができる。これにより複雑な基板処理プロセスを効率的にかつ高い 生産性で実現できる。さらに、力かる基本的構成を利用することにより各種の処理チ ヤンバゃ搬送チャンバ等のチャンバレイアウトの融通性を高めることができる。
そして、このような真空処理装置を用いた半導体デバイス製造システムによれば、 半導体デバイスを効率的にかつ安価に作製することができる。
発明を実施するための最良の形態
[0033] 以下に、本発明の好適な実施例を添付図面に基づいて説明する。
実施例 1
[0034] 図 1を参照して本発明に係る真空処理装置の第 1実施例を説明する。図 1は第 1実 施例の真空処理装置を概略的に示した平面図を示している。
[0035] 図 1において、真空処理装置 1は 3つの搬送チャンバ 11, 12, 13を相対的に接近 させた位置関係で備えている。搬送チャンバ 11, 12, 13は、それぞれ、その内部の 好ましくは中央位置に、搬送ロボットアーム 11a, 12a, 13aを備えている。搬送ロボッ トアーム 1 la〜 13aは処理対象である基板 (以下の説明では便宜上「ウェハ」とも 、う) を搬送する機構である。搬送チャンバ 11〜13は、それぞれ独立に、図示しない真空 排気装置および搬送ロボットアーム制御装置を備えている。搬送ロボットアーム 11a 〜13aは、その中心軸部 14の周りに回転自在であり、かつそのアーム部 15が伸縮自 在である。また、アーム部 15は上下動可能である。この例では、搬送ロボットアーム 1 la〜13aは、両側に略半円形状の基板受け部を備えている。図示した搬送ロボット アーム l la〜13aの例では、両側に基板載置部 16を有している。
[0036] なお搬送ロボットアームの形状および構造はこれに限定されるものではなぐ共通 真空チャンバから処理チャンバへ、あるいはその逆に基板を搬送できるものであれば よぐアーム部の形状や、伸縮機構、上下動機構などは、任意の機構を用いることが できる。
[0037] 3つの搬送チャンバ 11〜13は、図 1に明示されるごとぐ三角形の頂点位置になる ように配置されている。 3つの搬送チャンバ 11〜13のそれぞれは、 1つの隔離された 搬送チャンバ装置として製作される。
[0038] 3つの搬送チャンバ 11〜13の略中間的な箇所には 1つの共通真空チャンバ 21が 設けられている。共通真空チャンバ 21は、内部に 1つの基板載置部 21aを有し、力 つ図示しない真空排気装置を備えている。この真空排気装置により、共通真空チヤ ンバ 21は所要の減圧状態にされる。この 1つの共通真空チャンバ 21と、上記の 3つ の搬送チャンバ 11〜13の各々との間の境界壁部にはゲートバルブ 22, 23, 24が設 けられている。ゲートバルブ 22〜24の各々は、開動作によって隣接するチャンバ同 士の内部を通じさせ、これにより当該チャンバ同士の間で基板を移動させることが可 能になる。ゲートバルブ 22〜24の各々が閉動作することにより隣接するチャンバ同 士を遮断し、隔離した状態にする。ゲートバルブ 22〜24の各々の動作は、図示しな Vヽ制御装置によって、基板の処理プロセスに応じて適宜なタイミングで実行される。
[0039] 上記共通真空チャンバ 21は、基本的な構成としては、 1つの基板載置部 21aを有 し、かつ上記搬送ロボットアームのごとき特別な基板搬送機構を備えていない。従つ て、共通真空チャンバ 21は、 3つの搬送チャンバ 11〜13の各々力も見て、処理対象 である基板を、他の搬送チャンバの搬送ロボットアームに移動させるための基板受渡 し真空チャンバとしての機能を有している。また他の観点で、共通真空チャンバ 21は 基板を移動させる 1つの搬送経路として用いられる。
[0040] 3つの搬送チャンバ 11〜13の間で共通真空チャンバ 21を経由して基板の受渡し を行えるようにするため、共通真空チャンバ 21の基板載置部 21aの位置は、搬送チ ヤンバ 11〜13の各々の搬送ロボットアーム l la〜13aによるアーム到達可能位置に 設定されている。従って、搬送チャンバ 11〜13の搬送ロボットアーム l la〜13aのい ずれも、ゲートバルブ 22〜24が開いているという前提の下で、基板を共通真空チヤ ンバ 21の基板載置部 21a上に置くことができるし、当該基板載置部 21aに置かれた 基板を取り出すことができる。
[0041] 上記の 3つの搬送チャンバ 11〜13とそれらの中央に位置する 1つの共通真空チヤ ンバ 21から成るチャンバ構成力 真空処理装置 10の基本的な構成となっている。共 通真空チャンバ 21は、基板受渡しチャンバとして共通化されている。
[0042] なお共通真空チャンバ 21は、真空処理装置 1の設計上の要求に応じて、基板に対 して所定の処理プロセスを実行する処理チャンバとしても利用できるように構成するこ ともできる。この場合には、加熱手段や冷却手段等を備えることにより、加熱、冷却、 ァニール、ァライメント等の処理プロセスが実行される。これらの処理プロセスの具体 例については後述される。
[0043] 前述の 3つの搬送チャンバ 11〜13のそれぞれには、一例として、 1つの処理チャン バがゲートバルブ 41を介して接続されて 、る。搬送チャンバ 11には処理チャンバ 51 が接続され、搬送チャンバ 12には処理チャンバ 61が接続され、搬送チャンバ 13に は処理チャンバ 71が接続される。搬送チャンバ 11〜 13のそれぞれに接続された 1 つの処理チャンバは、好ましくは、搬送チャンバごとに、真空レベル (圧力レベル)、 真空の質 (クリーン度、すなわち汚染の内容および程度)に基づいて分けられたカテ ゴリに属している。
[0044] また処理チャンバ 51, 61, 71のそれぞれで実施される処理プロセスの内容は、処 理基板の目的に応じて任意に設定することもできる。なお図中、各処理チャンバ内に 示された円形部分は基板載置部を示している。
[0045] 図 1において下側の左右に位置する 2つの搬送チャンバ 11, 12には、さらに、ゲー トノ レブ 8 la, 82aを介してロードロックチャンバ 81, 82が接続されている。
[0046] 上記のごときチャンバレイアウト構成を有する真空処理装置 1では、その中央部に 3 つの搬送チャンバ 11〜13と 1つの共通真空チャンバ 21から成る基本的構成を備え ることに基づいて、基板を移動させるための搬送経路の選択自由度を高めると共に、 基板に対する各種の処理プロセスの組合せの自由度を高めることができる。
[0047] 次に、上記の真空処理装置 1の共通真空チャンバ 21での装備可能な機能または 実施可能な処理について具体的に説明する。
[0048] 共通真空チャンバ 21には、 3つの搬送チャンバ 11〜13の各々との間にゲートバル ブ 22〜24が設けられ、独立に真空排気系を有しており、例えば、以下の第 1から第 4 の機能のうちの少なくとも 1つの機能を備えることが好ましい。
[0049] 第 1の機能はァライナ機能である。ァライナ機能を有する共通真空チャンバ 21では 、回転可能なウェハステージ (基板載置部 21aに対応)と、ァライメントに必要なセン サとを備えたァライナーを有する。共通真空チャンバ 21内に搬入されかつウェハステ ージに搭載された基板すなわちウェハは、ウェハステージを回転させることにより、セ ンサ情報に基づいて、ウェハのノッチまたはオリエンテーションフラットの検出し、さら にウェハの中心位置を計算で割り出す。 3つの搬送チャンバ 11〜13の各々に対する ウェハのノッチ(中心位置を考慮した)を最適な方向にするため、ウェハを回転させ、 受け渡す搬送ロボットに対し、中心の位置情報を与える。この一連動作によりウェハ のァライメントを行う。
[0050] 第 2の機能は加熱 Z冷却機能である。加熱 Z冷却機能を有する共通真空チャンバ 21では、その内部に、加熱用のヒータステージと冷却用のクーリングステージのうち の!ヽずれか一方または両方を備え、ウェハの加熱および Zまたは冷却を行うヒーター またはクーラーの少なくとも 、ずれか一方を有する。 3つの搬送チャンバ 11〜 13の各 々に接続される処理チャンバ 51, 61, 71は、加熱機構と冷却機構のうちのいずれか 一方または両方を有しており、搬送チャンバ 11〜 13を通して他の処理チャンバにゥ ェハを搬送する場合、共通真空チャンバ 21の加熱 Z冷却機能により、事前に次の処 理チャンバでの処理温度に近づけておくことができる。これにより、各処理チャンバ 5 1, 61, 71での処理前の昇温時間または降温時間を短縮することができ、全体の処 理時間を短くできる。
[0051] 第 3の機能はモニタ機能である。モニタ機能を有する共通真空チャンバ 21では、ゥ ェハの表面状態をモニタするモニタ装置を備える。ウェハは、各搬送チャンバ 11〜1 3に接続される処理チャンバ 51, 61, 72での所望の処理が終了すると、共通真空チ ヤンバ 21に送り込まれ、ウェハステージ (基板載置部 21a)の上に置かれる。共通真 空チャンバ 21では、装備されたモニタ装置でウェハの表面の状態をモニタする。モ- タの結果は、処理チャンバでの処理の正常性を判定することに用いられる。さらに当 該モニタ結果を、当該処理を実施した処理チャンバでの処理レシピの修正に用いる こともできる。またモニタ結果を、それ以後に続く処理チャンバでの処理レシピの修正 に用いることもできる。上記のモニタ装置は、例えば、膜厚をモニタする場合には分 光エリプソメータのような非接触の光学膜厚測定器であり、また結晶性をモニタする 場合には RHEED(Reflection High-Energy Electron Diffraction)である。
[0052] 第 4の機能はウェハのストッカー機能である。ウェハのストッカー機能を有する共通 真空チャンバ 21では、その内部に、ウェハを複数枚を収納できる多段のカセットを備 え、さらに当該カセットを上下させる機構、および当該カセットを各搬送チャンバ 11〜 13に向かせる回転機構を備えて!/、る。
[0053] 今まで、ウェハの生産開始直後の処理モジュールのコンディションを整えるための シーズニングは、ダミーウェハを使用し、カセット 86から当該ダミーウェハを投入し、口 ードロックを介して搬送チャンバにより処理チャンバへダミーウェハを送り込むようにし ていた。その後、ダミーウェハの回収はその逆の手順で行われていた。
[0054] し力しながら、上記のように共通真空チャンバ 21の内部にウェハのストッカー機能を 設けるようにすると、ダミーウェハを真空内にストックすることになるので、どの処理モ ジュールもシーズニングが必要なときに、ダミーウェハを搬送チャンバ 11〜 13を経由 してその処理チャンバに搬送することができる。これによると、搬送時間を短縮するこ とができ、すぐにシーズニングを開始でき、結果として、生産開始までの時間が短縮 できる。さらに、同様にダミーウェハの回収作業についても時間を短縮ィ匕することがで きる。
[0055] さらに、共通真空チャンバ 21の内部にウェハのストッカー機能を有すると、次のよう な他の応用例を考えることができる。
[0056] 処理モジュールが PVDモジュールである場合にはターゲットのクリーニングプロセ スが必要となる。一般的に、 DCスパッタの場合、処理モジュール内にシャツタ機構を 有し、このシャツタを閉じてターゲットクリーニングを行う。しかし、 RFスパッタの場合は 、シャツタとステージの間に隙間があることから、当該シャツタの裏側までプラズマが 回り込む現象があり、シャツタが使えない場合がある。そこで、この場合には、処理モ ジュールにウェハを送り込んで、ウェハステージの上に置き、このウェハをシャツタの 代わりに使い、ターゲットクリーニングを行うことがある。また搬送時間を短縮するため 、シャツタの代わりに使うゥヱハと、それを搬送する機構とを処理モジュール内に保有 して行っている場合もある。
[0057] 上記の場合に対して、共通真空チャンバ 21に装備したウェハのストッカー機能を使 用すると、ターゲットクリーニング時は、この共通真空チャンバ 21から、必要なときに 必要な各搬送チャンバ(11, 12, 13)に接続された各処理チャンバへウェハを搬送し てターゲットクリーニングを行うことができる。また終了後は、元の共通真空チャンバ 2 1にウェハを戻すことができる。そのため、各処理チャンバは、クリーニング開始までの 時間を大幅に短縮でき、さらに、複雑な搬送機構を各処理モジュール内に保有する 必要がなくなる。
[0058] さらに、真空処理装置 1の搬送チャンバ 11, 12, 13と処理チャンバ 751, 61, 71に ついて説明を加える。
[0059] 第 1実施例に係る真空処理装置 1が 3つの搬送チャンバ 11〜13を備えることの意 義を裏付ける好まし 、例として、コンタクトホールへのタングステンプラグ (W-Plug)形 成プロセスの例をとり上げる。コンタクトホールへの W-Plug形成プロセスにおけるゥェ ハの処理手順としてウェハは処理チャンバ 51、処理チャンバ 71、処理チャンバ 61の 順序で移動する。
[0060] 最初の処理を実行する処理チャンバ 51は、好ましくは、化学的基板前処理機能を 有している。処理チャンバ 51の目的は、コンタクトホール底部の自然酸ィ匕膜を除去す ることである。処理に用いるガスとしては、 NH , Hなどであり、数 Paの圧力のプラズ
3 2
マで乖離する。水素 (H)の還元性を利用し、基板表面、特にコンタクトホール底部( 拡散層)の自然膜層を除去する。
[0061] 次の処理を実行する処理チャンバ 71は、好ましくは、スパッタ機能を有している。処 理チャンバ 71の目的は、コンタクトホール内部への TiZTiN膜の成膜である。この Ti ZTiN膜は、次のブランケット Wの種層の確保、およびコンタクトホール側面部のバリ ァ機能の確保するために成膜される。処理前のバックグラウンド圧力としては、 1 X 10 E-5Pa以下を必要とし、実際の処理に用いるガスとしては、 Ar, Nであり、 0. 1〜数
2
十 Paの圧力で成膜する。
[0062] 最後の処理を行う処理チャンバ 61は、好ましくは、 CVD機能を有している。処理チ ヤンバ 61の目的はコンタクトホール内部への Plug形成である。処理に用いるガスとし ては、 WF , N , H等であり、数 Paの圧力で成膜する。
6 2 2
[0063] 上記のごとき機能を有するように設計された処理チャンバに対して、搬送チャンバ 1 1〜13は次のような機能を有することが好ましい。
[0064] 搬送チャンバ 11は、ノ ックグラウンド圧力として 1 X 10E-5Pa以下であることが好ま しい。一方、ゲートバルブ 41を開く際には、処理チャンバ 51の圧力よりも高圧となる。 これは、ゲートバルブ 41を開いた際に、処理チャンバ 51から搬送チャンバ 11への残 留ガスの拡散を防ぐ一方、搬送チャンバ 11内の酸素分圧は下げておき、再酸化を 防ぐためである。
[0065] また搬送チャンバ 13は処理チャンバ 71のノックグラウンド圧力と同等であることが 好ましい。これは、スパッタ膜への不純ガスの取り込みを防ぐためである。
[0066] さらに搬送チャンバ 12は、処理チャンバ 61の圧力よりも高圧であることが好ましい。
これは、ゲートバルブ 41を開いた際に処理チャンバ 61から搬送チャンバ 12への残 留ガスの拡散を防ぐためである。
[0067] 上記のように搬送チャンバ 11, 12, 13のそれぞれの真空度は大きく異なるため、 共通真空チャンバ 21で相互に接続し、互 ヽの搬送チャンバを直接に接続しな ヽよう にすることが好ましい。
[0068] なお真空の汚染度 (またはクリーン度)の観点で異なる搬送チャンバ 11〜13を共通 真空チャンバ 21で接続することも好ましい。
[0069] 第 1実施例の真空処理装置 1によれば、上記のごとくお互いに異なる機能を有する 少なくとも 3つの搬送チャンバ 11〜 13から共通にアクセスでき、基板の受け渡しを行 うことができるので、複数の処理チャンバの共通部分の機能を共通真空チャンバ 21 に集中させ、処理チャンバおよび処理モジュールが機構的に簡素になり、装置全体 の設置面積を小さくすることができる。さらにアプリケーションによっては、搬送チャン ノ 11から直接に搬送チャンバ 12へ搬送するようなショートカットも可能であり、スルー プットの改善が期待できるという利点がある。
[0070] さらに第 1実施例の真空処理装置 1によれば、 3つの搬送チャンバ 11〜13を有す ることで、コンタミネーシヨンを考慮して処理ゾーンを各搬送チャンバごとに分けること ができる。例えば、搬送チャンバ 11は化学的な処理ゾーン、搬送チャンバ 13はスパ ッタ処理ゾーン、搬送チャンバ 12は CVD処理ゾーンである。基板は、ロードロックチ ヤンバ 81から搬送チャンバ 11に搬送され、そこに接続される処理チャンバ 51で処理 されて、次の搬送チャンバ 13に搬送され、そこに接続される処理チャンバ 71で処理 されて、さらに搬送チャンバ 12に搬送され、そこに接続される処理チャンバ 61で処理 されてアンロードロックチャンバ 82から出てくる。このような基板搬送ルートは、一方通 行であり、戻ることがないので、基板は各搬送チャンバに接続されている処理チャン ノくからのコンタミネーシヨンの影響を受けないという利点がある。
実施例 2
[0071] 次に図 2を参照して本発明に係る真空処理装置の第 2実施例を説明する。図 2は 第 2実施例の真空処理装置を概略的に示した平面図を示している。図 2において、 図 1で説明した要素と実質的に同一の要素には同一の符号を付し、重複した説明は 省略する。
[0072] 図 2に示した真空処理装置 10でも、第 1実施例の真空処理装置 1と同様に、 3つの 搬送チャンバ 11, 12, 13を備え、搬送チャンバ 11, 12, 13は内部に搬送ロボットァ ーム 11a, 12a, 13aを備える。また 3つの搬送チャンバ 11〜13の略中間的な箇所に は 1つの共通真空チャンバ 21が設けられている。搬送チャンバ 11〜13、搬送ロボッ ト l la〜13a、共通真空チャンバ 21、およびこれらの要素に関連する構造について は、第 1実施例で説明した通りである。
[0073] 図 2に示した真空処理装置 10では、さらに共通真空チャンバ 21の左右両隣に、別 の真空チャンバ 31, 32が配置される。真空チャンバ 31は搬送チャンバ 11と搬送チヤ ンバ 13の間に設けられる。真空チャンバ 32は搬送チャンバ 12と搬送チャンバ 13との 間に設けられる。真空チャンバ 31, 32も、基本的な構成としては、共通真空チャンバ 21と同様に、 1つの基板載置部 31a, 32aのみを有し、特別な基板搬送機構を備え ていない。真空チャンバ 3 L 32は基板受渡しチャンバとして機能し、搬送経路として 用いられる。以下、真空チャンバ 31, 32を「受渡し真空チャンバ 31, 32」という。なお 、受渡し真空チャンバ 31と搬送チャンバ 11, 13の各々との間にはゲートバルブ 33, 34が設けられる。また受渡し真空チャンバ 32と搬送チャンバ 12, 13との間にはゲー トバルブ 35, 36が設けられている。これらのゲートバルブ 33〜36は、図示しない制 御装置によって適宜なタイミングで開閉される。受渡し真空チャンバ 31を経由して搬 送チャンバ 11, 13の各搬送ロボットアーム 11a, 13aにより基板の受渡しが行われる 。また受渡し真空チャンバ 32を経由して搬送チャンバ 12, 13の各搬送ロボットァー ム 12a, 13aにより基板の受渡しが行われる。
[0074] 上記の構成において、受渡し真空チャンバ 31, 32のそれぞれは、基板に対して所 定の処理プロセスを実行する処理チャンバとしても利用できるように構成することもで きる。この場合、加熱手段や冷却手段等を備えることにより、加熱、冷却、ァニール、 ァライメント等の処理プロセスが実行される。
[0075] また搬送チャンバ 11と搬送チャンバ 12との間にもゲートバルブ 37が設けられて ヽ る。このゲートバルブ 37は、図示しない制御装置によって適宜なタイミングで開閉さ れる。このゲートバルブ 37を経由して、搬送チャンバ 11, 12の間で、各搬送ロボット アーム 11a, 12aにより基板の受渡しが行われる。
[0076] さらに搬送チャンバ 11と搬送チャンバ 12の間に、上記の受渡し真空チャンバ 31, 3 2と同様な機能を有する受渡し真空チャンバを設け、この受渡し真空チャンバを経由 して搬送チャンバ 11, 12間で基板の受渡しや所定の処理プロセスを行うように構成 することも可會である。
[0077] 第 2実施例の真空処理チャンバ 10では、 3つの搬送チャンバ 11〜13のそれぞれ には、好ましくは、 1つ以上の処理チャンバがゲートバルブ 41を介して接続されてい る。一例として、搬送チャンバ 11には 2つの処理チャンバ 51, 52が接続され、搬送チ ヤンバ 12には 2つの処理チャンバ 61, 62が接続され、搬送チャンバ 13には 5つの処 理チャンバ 71, 72, 73, 74, 75力接続されている。
[0078] 搬送チャンバ 11〜13のそれぞれに接続された複数の処理チャンバは、好ましくは 、同一の搬送チャンバごとに、真空レベル (圧力レベル)、真空の質 (汚染の内容およ び程度)に基づいて分けられた同一カテゴリに属する処理チャンバである。また処理 チャンバ 51, 52, 61, 62, 71〜75のそれぞれで実施される処理プロセスの内容は 処理基板の目的に応じて任意に設定される。なお各処理チャンバ内に示された円形 部分は基板載置部を示して ヽる。
[0079] 図 2において、下側の左右に位置する 2つの搬送チャンバ 11, 12には、ゲートバル ブ 8 la, 82aを介してロードロックチャンバ 81, 82が接続される。ロードロックチャンバ 81 , 82の外側には、ゲートバルブ 83を介して、基板搬入搬出ロボット 84を備えた搬 入搬出装置 85、および 3つの基板格納部 86が設けられている。
[0080] 第 2実施例の真空処理装置 10でも、第 1実施例の場合と同様に、中央部に 3つの 搬送チャンバ 11〜13と共通真空チャンバ 21から成る基本的構成を備えることに基 づいて、基板を移動させるための搬送経路の選択自由度を高め、さらに基板に対す る各種の処理プロセスの組合せの自由度を高めることができる。
[0081] 次に図 3に、真空処理装置 10の搬送チャンバ 11〜13、共通真空チャンバ 21、お よび受渡し真空チャンバ 31, 32等における平面図状態での基板の搬送経路の一例 を示す。この搬送経路では、搬送経路上のゲートバルブは適宜なタイミングで開閉さ れるものとする。
[0082] ロードロックチャンバ 81を通して搬送チャンバ 11内に搬入された基板は、搬送チヤ ンバ 11の搬送ロボットアーム 1 laによって保持され、搬送チャンバ 11内に維持される (経路 Rl)。搬送チャンバ 11内で基板が維持されるとき、例えば、搬送チャンバ 11に 接続された処理チャンバ 51, 52を利用して基板に対して所要の処理プロセスが実行 される。
[0083] 次に、搬送ロボットアーム 11aによって搬送チャンバ 11から受渡し真空チャンバ 31 に基板を搬送し、基板載置部 31aに載置する (経路 R2)。その後、搬送チャンバ 13 の搬送ロボットアーム 13aが受渡し真空チャンバ 31に置かれた基板を取り出し、搬送 チャンバ 13内に搬送される (経路 R3)。搬送チャンバ 13で、基板は、搬送ロボットァ ーム 13aに保持され、当該搬送チャンバ内で維持される。搬送チャンバ 13内に基板 が維持されるとき、搬送チャンバ 13に接続された処理チャンバ 71〜75のいずれか 1 つまたは複数を利用して基板に対して所要の処理プロセスが実行される。 [0084] その後、搬送チャンバ 13の搬送ロボットアーム 13aは基板を共通真空チャンバ 21 の基板載置部 21aに載置する(経路 R4)。次いで、搬送チャンバ 11の搬送ロボットァ ーム 1 laによって共通真空チャンバ 21内の基板を搬送チャンバ 11へ搬送する (経路 R5)。搬送チャンバ 11内にお 、て搬送ロボットアーム 1 laによって保持される基板は 、ゲートバルブ 37を経由して搬送チャンバ 12の搬送ロボットアーム 12aに対して受け 渡される(経路 R6)。基板は、搬送チャンバ 12において、搬送ロボットアーム 12aに 保持され、かつ当該搬送チャンバ 12内に維持される。搬送チャンバ 12内で基板が 維持されるとき、搬送チャンバ 12に接続された処理チャンバ 61, 62を利用して基板 に対して所要の処理プロセスが実行される。
[0085] その後において、前述した搬送と基本的に同様にして、搬送チャンバ 12と共通真 空チャンバ 21の間における経路 R7、共通真空チャンバ 21と搬送チャンバ 13の間に おける経路 R8、搬送チャンバ 13と受渡し真空チャンバ 32の間における経路 R9、受 渡し真空チャンバ 32と搬送チャンバ 12の間における経路 R10、搬送チャンバ 12と口 一ドロツクチャンバ 82の間における経路 R11の順次で基板の搬送が行われる。
[0086] 前述の基板の搬送経路 R1〜R11において、基板が搬送チャンバ 11, 12, 13のい ずれかにある場合には、各搬送チャンバに接続される複数の処理チャンバを適宜に 選択して必要な処理プロセスを基板に対して行うことが可能となる。このように、真空 処理装置 10によれば、 3つの搬送チャンバ 11〜13と共通真空チャンバ 21から成る 構成を基本構成として利用することにより、基板の搬送経路の選択自由度を高め、さ らに基板に対する各種の処理プロセスの組合せの自由度を高めることができる。
[0087] 次に、上記真空処理装置 10を利用して実施される基板処理プロセスの観点から、 図 4を参照しながら基板処理プロセスの代表的な動作例を説明する。
[0088] 図 4は、真空処理装置 10の各チャンバのレイアウトのみを線図で概念的に示し、か つ基板処理プロセスに対応する基板の具体的な移動経路 (R101〜R113)を示して いる。図 4において、図 2で説明したチャンバと同一のチャンバには同一の符号を付 して 、る。なお搬送チャンバ 11〜 13内に設けられた搬送ロボットアーム 1 la〜 13aの 図示は省略されている。
[0089] 図 4に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R101)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる(経路 R102)。処理チャンバ 51内ではラジカルエッチング(前処理プロセス)が 行われ、基板の表面クリーニングが行われる。
[0090] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R103)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R104)。このとき、受渡し真空チャンバ 31内において基板に対して加熱処理が行わ れ、基板の表面が清浄化される。また処理チャンバ 71内では、 PVD (Physical Vapor Deposition)処理が実行され、基板の表面に Tiがスパッタ成膜される。
[0091] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、共通真空チャンバ 21内の基板載置部に搬送され (経路 R105)、さらに その後、搬送チャンバ 11の搬送ロボットアーム 1 laが基板を処理チャンバ 52に搬入 する(経路 R106)。処理チャンバ 52内では、加熱およびプラズマによる前処理プロ セスが実行される。
[0092] 処理チャンバ 71から処理チャンバ 52へ基板が移送される時には、受渡し真空チヤ ンバ 31ではなぐ共通真空チャンバ 21を通るようにし、基板の移動経路を分けること により、基板の待ち時間をなくし、スループットを改善することができる。
[0093] 次に、処理チャンバ 52内の基板は搬送チャンバ 11の搬送ロボットアーム 11aにより 共通真空チャンバ 21内の基板載置部に搬送され (経路 R107)、さらにその後、搬送 チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬入する(経路 R1 08)。処理チャンバ 61では、 CVD (Chemical Vapor Deposition)による処理プロセス が実行され、基板の表面に TiN膜が形成される。
[0094] 次に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aにより 受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R109)、さらにその後、搬 送チャンバ 13の搬送ロボットアーム 13aが基板を処理チャンバ 73に搬入する(経路 R 110)。処理チャンバ 73では、 PVDによる処理プロセスが実行され、基板の表面に加 熱状態で Al膜が形成される。
[0095] 次に、処理チャンバ 73内の基板は搬送チャンバ 13の搬送ロボットアーム 13aにより 処理チャンバ 74に搬入される(経路 R111)。処理チャンバ 74では、 PVDによる処理 プロセスが実行され、基板の表面に TiNによる反射防止膜が形成される。
[0096] 最後に、処理チャンバ 74内の基板は搬送チャンバ 13の搬送ロボットアーム 13aに より受渡し真空チャンバ 21内の基板載置部に搬送され (経路 R112)、さらにその後、 搬送チャンバ 12の搬送ロボットアーム 12aが基板をロードロックチャンバ 82に搬入す る(経路 R113)。
[0097] 以上において、処理チャンバ 71から処理チャンバ 52への基板の移動、処理チャン ノ 52から処理チャンバ 61への基板の移動、処理チャンバ 74からロードロックチャン ノ 82への基板の移動では、単に通過するだけなので、共通真空チャンバ 21を通る ように移動経路を共通化して設定し、他の処理時間との整合を図るようにした。これに より、待ち時間を少なくでき、スループットを改善できる。さらにこれにより、装置の構 成をコンパクトにでき、無駄のな 、基板の移送を達成できる。
[0098] 上記のごとぐ図 2に示したチャンバレイアウト構成を有する真空処理装置 10によれ ば、図 3または図 4に示した基板の搬送経路または移動経路に基づいて、各種の処 理プロセスを高い自由度により組み合せることができる。各種の処理プロセスの組み 合わせに関して、本実施例に係る真空処理装置 10によれば、処理プロセスの飛び 越しや飛び戻りなど、処理対象である基板の搬送の仕方を自在に設計することがで き、処理プロセスの組合せの自由度を高めることができる。従って、基板処理の効率 化および生産性を高くすることができ、さらに各種の処理チャンバや搬送チャンバ等 のレイアウトの融通性を高くすることができる。
[0099] カロえて、搬送チャンバ(11〜13)ごとに、接続される処理チャンバの処理プロセスの 内容に関して、真空レベル (圧力レベル)、真空の質 (汚染の内容および程度)に基 づいてカテゴリを分けるように構成でき、これにより異なる処理プロセスの相互関係に 起因する微粒子やガス等のクロスコンタミネ一シヨンを低減できる。
[0100] 次に、上記の第 2実施例に係る真空処理装置 10を利用して実施できる他の基板処 理プロセスの動作例 1〜5を説明する。 [動作例 1]
[0101] この動作例 1は「コンタクト充填」に係る基板処理プロセスである。図 5は、動作例 1 の基板処理プロセスに対応する基板の具体的な移動経路 (R201〜R213)が示され ており、図 4と同様な図である。図 5において、図 2で説明したチャンバと同一のチャン バには同一の符号を付して 、る。なお搬送チャンバ 11〜 13内に設けられた搬送口 ボットアーム l la〜13aの図示は省略されている。
[0102] 図 5に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R201)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる(経路 R202)。処理チャンバ 51内では化学的な前処理プロセスが行われる。
[0103] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り処理チャンバ 52に移送される(経路 R203)。処理チヤ ンバ 52内では、加熱およびプラズマによる前処理プロセスが実行される。
[0104] 次に、処理チャンバ 52内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R204)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R205)。このとき、受渡し真空チャンバ 31内において基板に対して予備加熱の処理 が行われる。これにより処理チャンバ 71での処理時間を短縮できる。処理チャンバ 7 1内では、 PVD (Physical Vapor Deposition)処理が実行され、基板の表面に Tiまた は Coがスパッタ成膜される。
[0105] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、共通真空チャンバ 21内の基板載置部に搬送され (経路 R206)、さらに その後、搬送チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬入 する(経路 R207)。処理チャンバ 61内では、 CVDにより ALD (Atomic Layer Deposi tion)処理プロセスが実行される。また共通真空チャンバ 21では、予備加熱の処理が 行われる。その結果、処理チャンバ 61での処理時間を短縮することができる。
[0106] 次に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aにより 受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R208)、さらにその後、搬 送チャンバ 13の搬送ロボットアーム 13aが基板を処理チャンバ 72に搬入する(経路 R 209)。処理チャンバ 72では、 PVDによる処理プロセスが実行され、基板の表面に加 熱状態で A1のシード層が形成される。また受渡し真空チャンバ 32では、冷却処理が 行われる。これにより処理チャンバ 72での処理時間を短縮することができる。
[0107] また、基板の移動の経路を共通真空チャンバ 21および受渡し真空チャンバ 32で 分け、かつ処理プロセスを異ならせることにより基板の処理時間の整合をとり、基板の 待ち時間をなくし、スループットを改善し、フレキシブルな処理プロセスに対応するこ とがでさる。
[0108] 次に、処理チャンバ 72内の基板は搬送チャンバ 13の搬送ロボットアーム 13aにより 処理チャンバ 73に搬入される(経路 R210)。処理チャンバ 73では、 PVDによりホー ル内の A1の埋込み処理プロセスが実行される。
[0109] 次に、処理チャンバ 73内の基板は搬送チャンバ 13の搬送ロボットアーム 13aにより 共通真空チャンバ 21内の基板載置部に搬送され (経路 R211)、さらにその後、搬送 チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 62に搬入する(経路 R2 12)。処理チャンバ 62では、 CVDによる処理プロセスが実行され、基板の表面に Ti Nによる反射防止膜が形成される。また共通真空チャンバ 21では、予備加熱の処理 が行われる。その結果、処理チャンバ 62での処理時間を短縮することができる。
[0110] 最後に、処理チャンバ 62内の基板は搬送チャンバ 12の搬送ロボットアーム 12aに よりロードロックチャンバ 82に搬入される(経路 R213)。
[0111] 上記の動作例 1の基板処理プロセスでは、処理チャンバ 71から処理チャンバ 61へ の基板の移動、処理チャンバ 73から処理チャンバ 62への基板の移動で、共通真空 チャンバ 21での加熱処理を共通化することができ、装置の構成をコンパクトに作るこ とができ、無駄のない基板の移送を達成できる。
[動作例 2]
[0112] この動作例 2は「コンタクトバリア形成」に係る基板処理プロセスである。図 6は、動作 例 2の基板処理プロセスに対応する基板の具体的な移動経路 (R301〜R308)が示 されており、図 4と同様な図である。図 6において、図 2で説明したチャンバと同一の チャンバには同一の符号を付して 、る。なお搬送チャンバ 11〜 13内に設けられた搬 送ロボットアーム l la〜13aの図示は省略されている。
[0113] 図 6に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R301)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる(経路 R302)。処理チャンバ 51内では化学的な処理プロセスが行われる。
[0114] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り処理チャンバ 52に移送される(経路 R303)。処理チヤ ンバ 52内では、加熱およびプラズマによる処理プロセスが実行される。
[0115] 次に、処理チャンバ 52内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R304)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R305)。このとき、受渡し真空チャンバ 31内において基板に対して予備加熱の処理 が行われる。これにより処理チャンバ 71での処理時間を短縮できる。処理チャンバ 7 1内では、 PVD (Physical Vapor Deposition)処理が実行され、基板の表面に Coまた は Tiがスパッタ成膜される。
[0116] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R306)、さら にその後、搬送チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬 入する(経路 R307)。処理チャンバ 61内では、 ALD (Atomic Layer Deposition)によ り TiNの成膜処理プロセスが実行される。また受渡し真空チャンバ 32では、予備カロ 熱の処理が行われる。その結果、処理チャンバ 61での処理時間を短縮することがで きる。
[0117] 最後に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aに よりロードロックチャンバ 82に搬入される(経路 R308)。
[0118] 上記の動作例 2の基板処理プロセスでは、受渡し真空チャンバ 31, 32での加熱処 理は、共通真空チャンバ 21に振り分け、共通真空チャンバ 21でも加熱処理を行うこ とができる。これにより、他の処理時間との整合を図り、待ち時間を少なくでき、スルー プットを改善することができる。
[動作例 3]
[0119] この動作例 3は「ビア形成 (A1充填)」に係る基板処理プロセスである。図 7は、動作 例 3の基板処理プロセスに対応する基板の具体的な移動経路 (R401〜R410, R41 7, R418)が示されており、図 4と同様な図である。図 7において、図 2で説明したチヤ ンバと同一のチャンバには同一の符号を付して 、る。なお搬送チャンバ 11〜 13内に 設けられた搬送ロボットアーム l la〜13aの図示は省略されている。
[0120] 図 7に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R401)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる (経路 R402)。処理チャンバ 51内では化学的な処理プロセスが行われ、基板表 面がプリエッチングされる。
[0121] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R403)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R404)。このとき、受渡し真空チャンバ 31内において基板に対して加熱処理が行わ れ、基板の表面が清浄化される。また処理チャンバ 71内では、 PVD (Physical Vapor Deposition)処理が実行され、基板の表面に Tiがスパッタ成膜される。
[0122] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、隣の処理チャンバ 72に搬入される(経路 R405)。処理チャンバ 72では 、 PVD処理が実行され、基板の表面に TiN膜が形成される。その後、処理チャンバ 7 2内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aにより搬出され、さらに隣の 処理チャンバ 73に搬入される(経路 R406)。処理チャンバ 73では、 PVD処理が実 行され、基板の表面に Ti膜が形成される。
[0123] 次に、処理チャンバ 73内の基板は搬送チャンバ 13の搬送ロボットアーム 13aにより 受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R407)、さらにその後、搬 送チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬入する(経路 R 408)。処理チャンバ 61では、 PVDによる処理プロセスが実行され、基板の表面に A 1のシード層が形成される。なお受渡し真空チャンバ 32では冷却処理が行われ、処 理チャンバ 61での処理時間を短縮することができる。
[0124] 上記において、経路 R407と経路 R408の代わり〖こ、共通真空チャンバ 21を利用し て、経路 R417と経路 R418により基板を処理チャンバ 73から処理チャンバ 61に搬 送することも可能である。共通真空チャンバ 21では冷却処理が行われる。
[0125] 次に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aにより 搬出され、さらに隣の処理チャンバ 62に搬入される (経路 R409)。処理チャンバ 62 では、 PVDによりホール内の A1の埋込み処理プロセスが実行される。
[0126] 最後に、処理チャンバ 62内の基板は搬送チャンバ 12の搬送ロボットアーム 12aに よりロードロックチャンバ 82に搬入される(経路 R410)。
[0127] 上記の動作例 3の基板処理プロセスでは、受渡し真空チャンバ 32での基板の冷却 処理は時間が力かるので、共通真空チャンバ 21に基板移送を振り分けて、共通真空 チャンバ 21でも冷却処理を行うように構成することもできる。これにより、他の処理の 時間との整合を図り、基板移動における待ち時間を少なくでき、スループットを改善 することができる。
[動作例 4]
[0128] この動作例 4は「サリサイド(Salicide)プロセス」に係る基板処理プロセスである。図 8 は、動作例 4の基板処理プロセスに対応する基板の具体的な移動経路 (R501〜R5 09)が示されており、図 4と同様な図である。図 8おいて、図 2で説明したチャンバと同 一のチャンバには同一の符号を付して 、る。なお搬送チャンバ 11〜 13内に設けられ た搬送ロボットアーム l la〜13aの図示は省略されている。
[0129] 図 8に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R501)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる(経路 R502)。処理チャンバ 51内では、化学的な処理プロセスが行われる。
[0130] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り処理チャンバ 52に移送される(経路 R503)。処理チヤ ンバ 52内では、加熱およびプラズマによる処理プロセスが実行される。
[0131] 次に、処理チャンバ 52内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R504)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R505)。このとき、受渡し真空チャンバ 31内において基板に対して予備加熱の処理 が行われる。これにより処理チャンバ 71での処理時間を短縮できる。処理チャンバ 7 1内では、 PVD処理が実行され、基板の表面に Co, Ni, Tiまたは Y, Yb, Erなどの 希土類金属がスパッタ成膜される。
[0132] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、隣の処理チャンバ 72に搬入される(経路 R506)。処理チャンバ 72では 、 PVD処理が実行され、基板の表面に TiN膜が形成される。
[0133] 次に、処理チャンバ 72内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R507)、さら にその後、搬送チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬 入する(経路 R508)。
[0134] 処理チャンバ 61内では RTP (Rapid Thermal Process)処理が実行される。
[0135] 最後に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aに よりロードロックチャンバ 82に搬入される(経路 R509)。
[0136] 上記の動作例 4の基板処理プロセスでは、受渡し真空チャンバ 31での加熱処理を 共通真空チャンバ 21に振り分け、共通真空チャンバ 21でも予備加熱を行うことがで きる。これにより、他の処理時間との整合を図り、待ち時間を少なくでき、スループット を改善することができる。
[動作例 5]
[0137] この動作例 5は「ソース Zドレインのサリサイド(Salicide)プロセス」に係る基板処理プ ロセスである。図 9は、動作例 5の基板処理プロセスに対応する基板の具体的な移動 経路(R601〜R610, R616, R617)が示されており、図 4と同様な図である。図 9に おいて、図 2で説明したチャンバと同一のチャンバには同一の符号を付している。な お搬送チャンバ 11〜 13内に設けられた搬送ロボットアーム 1 la〜 13aの図示は省略 されている。
[0138] 図 9に示される処理プロセスでは、最初に、ロードロックチャンバ 81内に基板をセッ トする(経路 R601)。ロードロックチャンバ 81内の基板は、搬送チャンバ 11の搬送口 ボットアーム 11aによって搬送チャンバ 11内を通り、さらに処理チャンバ 51に搬入さ れる(経路 R602)。処理チャンバ 51内では、化学的な処理プロセスが行われる。
[0139] 次に、処理チャンバ 51内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り処理チャンバ 52に移送される(経路 R603)。処理チヤ ンバ 52内では、加熱およびプラズマによる処理プロセスが実行される。
[0140] 次に、処理チャンバ 52内の基板は、搬送チャンバ 11の搬送ロボットアーム 11aによ つて搬送チャンバ 11内を通り受渡し真空チャンバ 31の基板載置部に搬送され (経路 R604)、その後に、基板載置部上の基板は搬送チャンバ 13の搬送ロボットアーム 13 aによって搬送チャンバ 13内に搬送され、さらに処理チャンバ 71に搬入される (経路 R605)。このとき、受渡し真空チャンバ 31内において基板に対して予備加熱の処理 が行われる。これにより処理チャンバ 71での処理時間を短縮できる。処理チャンバ 7 1では SiEpi膜が堆積される。
[0141] 次に、処理チャンバ 71内の基板は、搬送チャンバ 13の搬送ロボットアーム 13aによ り搬出され、受渡し真空チャンバ 32内の基板載置部に搬送され (経路 R606)、さら にその後、搬送チャンバ 12の搬送ロボットアーム 12aが基板を処理チャンバ 61に搬 入する(経路 R607)。処理チャンバ 61内では、 PVD処理が実行され、基板の表面に Co, Ni, Tほたは Y, Yb, Erなどの希土類金属膜が形成される。また受渡し真空チ ヤンバ 32では、予備加熱の処理が行われる。その結果、処理チャンバ 61での処理 時間を短縮することができる。
[0142] 上記において、経路 R606の際に、経路 R616と経路 R617を作り、共通真空チャン バ 21を利用してァライメントを行うことも可能である。
[0143] 次に、処理チャンバ 61内の基板は搬送チャンバ 12の搬送ロボットアーム 12aにより 搬出され、さらに隣の処理チャンバ 62に搬入される (経路 R608)。処理チャンバ 62 では、キャップ層として PVDによる成膜処理プロセスが実行される。
[0144] 次に、処理チャンバ 62内の基板は、搬送チャンバ 12の搬送ロボットアーム 12aによ り搬出され、共通真空チャンバ 21の基板載置部に置かれる (経路 R609)。この共通 真空チャンバ 21では、加熱処理プロセスが実行される。
[0145] 最後に、共通真空チャンバ 21内の基板は搬送チャンバ 12の搬送ロボットアーム 12 aによりロードロックチャンバ 82に搬入される(経路 R610)。
[0146] 上記の動作例 5の基板処理プロセスでは、共通真空チャンバ 21でポストアニール 処理を行うことができる。これにより、ガス加熱により基板を均一に加熱することができ る。また共通真空チャンバ 21と受渡し真空チャンバ 31, 32は、基板搬送通路として だけではなぐ基板の加熱や冷却の処理、ァライメントに利用することができる。
[0147] 次に、図 10Aと図 10Bを参照して、上記真空処理装置 10おける基板搬送経路の 設定の仕方に応じた当該真空処理装置 10の使い方について説明する。
[0148] 図 10Aと図 10Bは共に図 4と同様な図を示し、基板の搬送経路が示されている。図 10Aと図 10Bにおいて、図 2で説明したチャンバと同一のチャンバには同一の符号 を付し、その説明を省略する。なお搬送チャンバ 11〜13内に設けられた搬送ロボッ トアーム l la〜13aの図示は省略されている。なお図 10Aと図 10Bでは、処理チャン バに対する基板の移動経路の図示は省略されている。
[0149] 図 10Aでは、基板の搬送経路として、実線の経路 R651と破線の経路 R652の左 右 2つの搬送経路が示されている。経路 R651は、基板が、ロードロックチャンバ 81力 ら入り、搬送チャンバ 11、搬送チャンバ 13、搬送チャンバ 11の順序で移動して、再 びロードロックチャンバ 81から出るという搬送経路である。ここでは、受渡し真空チヤ ンバ 31と共通真空チャンバ 21が基板の搬送に利用される。他方、経路 R652は、基 板力 ロードロックチャンバ 82から入り、搬送チャンバ 12、搬送チャンバ 13、搬送チ ヤンバ 12の順序で移動して、再びロードロックチャンバ 82から出るという搬送経路で ある。ここでは、受渡し真空チャンバ 32と共通真空チャンバ 21が基板の搬送に利用 される。以上において、搬送チャンバ 13は左右の経路 R651, R652にとつて共通の 搬送チャンバとして使用される。左右の搬送チャンバ 11, 12は、異なる基板処理プロ セスのための搬送チャンバとして使用されている。 [0150] 図 10Aに示した経路 R651, R652のように基板の搬送経路を設定することにより、 左右の 2つの異なる基板処理プロセスに対して、左右で共通の基板移送通路を同時 に使い分けることができるので、左右 2つの工程を同時に使い分け、真空処理装置 1 0を効率的に使うことができる。
[0151] 図 10Bでは、基板の搬送経路として、実線の経路 R661と破線の経路 R662の左右 2つの搬送経路が示されている。経路 R661は、基板が、ロードロックチャンバ 81から 入り、搬送チャンバ 11、搬送チャンバ 13、搬送チャンバ 12の順序で移動して、ロード ロックチャンノ 82から出るという搬送経路である。ここでは、受渡し真空チャンバ 31と 共通真空チャンバ 21が基板の搬送に利用される。他方、経路 R662は、基板が、口 一ドロツクチャンバ 82から入り、搬送チャンバ 12、搬送チャンバ 13、搬送チャンバ 11 の順序で移動して、ロードロックチャンバ 81から出るという搬送経路である。ここでは、 受渡し真空チャンバ 32と共通真空チャンバ 21が基板の搬送に利用される。以上に おいて、搬送チャンバ 13は左右の経路 R661, R662にとつて共通の搬送チャンバと して使用される。左右の搬送チャンバ 11, 12は、異なる基板処理プロセスのための 搬送チャンバとして使用されている。
[0152] 図 10Bに示した経路 R661, R662のように基板の搬送経路を設定することにより、 この場合においても、左右の 2つの異なる基板処理プロセスに対して、左右で共通の 基板移送通路を同時に使い分けることができるので、左右 2つの工程を同時に使い 分け、真空処理装置 10を効率的に使うことができる。
実施例 3
[0153] 次に、図 11を参照して本発明に係る真空処理装置の第 3実施例を説明する。図 11 では、第 3実施例に係る真空処理装置 101を線図により簡略して示している。図 2で 示した要素と実質的に同一の要素には同一の符号を付している。
[0154] 真空処理装置は、 3つの搬送チャンバ 11, 12, 13を備え、各搬送チャンバにはそ れぞれ処理チャンバ 51, 52, 61, 62, 71〜75が設けられている。また搬送チャンバ 11, 12にはそれぞれロードロックチャンバ 81, 82が設けられている。 3つの搬送チヤ ンノ 11, 12, 13の内咅に ίまそれぞれ搬送ロボットアーム 11a, 12a, 13a力 ^設けられ ているが、その図示は省略されている。 [0155] 3つの搬送チャンバ 11〜13の中間的な位置は共通真空チャンバ 102が設けられ る。この共通真空チャンバ 102の機能は、前述の共通真空チャンバ 21の機能と同じ である。共通真空チャンバ 102の特徴的な構成は、内部に 2つの基板載置部 102a, 102bを備えている点である。共通真空チャンバ 102の 2つの基板載置部 102a, 10 2bに対して、搬送チャンバ 11〜13の各々の搬送ロボットアーム l la〜13aは到達可 能位置にある。搬送チャンバ 11〜13の各搬送ロボットアーム l la〜13aによって、共 通真空チャンバ 102の 2つの基板載置部 102a, 102bと、他の処理チャンバや受渡 し真空チャンバ等の基板載置部との間において基板を相互に自在に移送することが できる。
[0156] なお図 11では、共通真空チャンバ 102の両側に配置される受渡し真空チャンバの 図示は、説明の便宜上省略している。
実施例 4
[0157] 次に、図 12を参照して本発明に係る真空処理装置の第 4実施例を説明する。図 12 において、図 1で説明した要素と実質的に同一の要素には同一の符号を付している 。この実施例による真空処理装置 301は、 4つの搬送チャンバ 11, 12, 13, 302を 備えたチャンバレイアウト構成を有している。図 12で示した真空処理装置 301の構成 では、搬送チャンバの接続構造のみを平面図として概略的に示している。従って、搬 送ロボットアーム、基板載置部、ゲートバルブ等の図示は省略されている。
[0158] 図 12において、搬送チャンバ 11, 12, 13および共通真空チャンバ 21は、第 1実施 例に係る真空処理装置 10で説明したものと実質的に同じである。また共通真空チヤ ンバ 21に対する搬送チャンバ 11〜13の基板の受渡しに関する構成および動作は 第 1実施例で説明したものと同じである。
[0159] 追加された搬送チャンバ 302は、搬送チャンバ 11〜13と同様に内部に搬送ロボッ トアーム(図示せず)を有し、かつ搬送チャンバ 11〜13と基本的に同じ構成を有して V、る。従って搬送チャンバ 302から共通真空チャンバ 21に対しても処理対象である 基板の受渡しを行うことが可能である。 4つの搬送チャンバ 11, 12, 13, 302を有す る真空処理装置 301において、ロードロックチャンバ 81, 82はそれぞれ第 1の搬送 チャンバ 11と第 4の搬送チャンバ 302に設けられて!/、る。 [0160] また真空処理装置 301では、生産性を上げる目的で、上記の共通真空チャンバ 21 以外の真空チャンバとして、 2つの真空チャンバ 303, 304を、それぞれ、搬送チャン バ 11と搬送チャンバ 12の間、搬送チャンバ 13と搬送チャンバ 302の間に設けられて いる。なお共通真空チャンバ 21以外に付設される他の真空チャンバの個数および設 置位置は任意に選択することができる。
[0161] 真空処理装置 301におけるその他の構成は、基本的に、前述した実施例の構成と 同じである。 4つの搬送チャンバ 11, 12, 13, 302はそれぞれ 1以上の処理チャンバ を備えている。しかし図 12では、便宜上、搬送チャンバ 11, 12, 13, 302のそれぞ れに ίま 1つの処理チャンノ 51, 61, 71, 305のみを示して!/、る。
[0162] 次に、上記の 4つの搬送チャンバ 11, 12, 13, 302と共通真空チャンバ 21と真空 チャンバ 303, 304を備えて成る真空処理装置 301で実施される好ま ヽ基板処理 プロセスの一例を以下に示す。また図 12では、基板の搬送経路が R701〜R704, R 711, R712で示されている。
[0163] 真空処理装置 301を利用してソース Zドレインにおけるその場ェピタキシャルド一 ビングの処理プロセスを実行する。このドーピング処理プロセスでは、ボロン(B)とリン (P)のそれぞれを 102Qcm 3以上の大量のドープ量で処理する。従って搬送チャンバ 内で排気を行うとき、搬送チャンバ内に残留するボロンまたはリンは、 10— 7Paの圧力 到達下であっても、 10+7cm— 3程度は残存することになる。よってボロンの処理プロセス およびリンの処理プロセスのそれぞれに対応して別々の搬送チャンバを用いることが 必要となる。
[0164] そこで、ボロンのドーピングでは、「(1)搬送チャンバ 11の搬送ロボットアームによる 経路 R701によって処理チャンバ 51に基板が搬送され、ここで前処理プロセスが行 われる。(2)次に搬送チャンバ 11, 12の各搬送ロボットアームと受渡し真空チャンバ 303による経路 R702によって処理チャンバ 61に基板が搬送され、ここでボロンのド 一ビング処理プロセスが実行される。(3)次に搬送チャンバ 12, 302の各搬送ロボッ トアームと共通真空チャンバ 21による経路 R703によって処理チャンバ 305に基板が 搬送され、ここで RTP (Rapid Thermal Process)が実行される。 (4)次に搬送チャンバ 302の搬送ロボットアームによる経路 R704でロードロックチャンバ 82に搬入される。」 ことにより処理プロセスの系統が形成される。
[0165] またリンのドーピングでは、「(1)搬送チャンバ 11の搬送ロボットアームによる経路 R 701によって処理チャンバ 51に基板が搬送され、ここで前処理プロセスが行われる。 (2)次に搬送チャンバ 11, 13の各搬送ロボットアームと共通真空チャンバ 21による 経路 R711によって処理チャンバ 71に基板が搬送され、ここでリンのドーピング処理 プロセスが実行される。(3)次に搬送チャンバ 13, 302の各搬送ロボットアームと受 渡し真空チャンバ 304による経路 R712によって処理チャンバ 305に基板が搬送され 、ここで RTP (Rapid Thermal Process)が実行される。(4)次に搬送チャンバ 302の搬 送ロボットアームによる経路 R704でロードロックチャンバ 82に搬入される。」ことにより 処理プロセスの系統が形成される。
[0166] 第 4実施例に係る真空処理装置 301によれば、 4つの搬送チャンバ 11, 12, 13, 3 02のうちの任意の 3つの搬送チャンバを適宜に選択することにより、異なる搬送チヤ ンバの組みを形成し、処理プロセスの搬送経路を別経路とすることにより、処理プロ セスの相互影響を排除することができる。
[0167] さらに、上記第 4実施例に係る真空処理装置 301によれば、 4つの搬送チャンバ 11 , 12, 13, 302のうちの任意の 3つの搬送チャンバを適宜に選択することにより、前述 の第 2実施例に係る真空処理装置 10として用いることも可能である。
実施例 5
[0168] 次に、図 13〜図 15を参照して、例えば真空処理装置 10を利用した半導体デバイ スの製造方法および製造システムの実施例を説明する。
[0169] 図 13は半導体デバイス製造システムの全体の構成例を示し、図 14は半導体デバ イスの全体的な製造プロセスのフローを示し、図 15は、図 13の半導体デバイス製造 システムで実施されるウェハプロセスのフローを示す。
[0170] 図 13に示す半導体デバイス製造システムは、全体的にインライン型の製造システ ムを示す。 401は、各工程で処理された基板を次の工程に搬送するための基板搬送 路である。この基板搬送路 401に沿って各工程に対応する装置が設置されている。 図 13に示すシステム構成によれば、第 1の工程で、一例として、前述した本発明に係 る真空処理装置 10が設置されている。その後、基板搬送路 401に沿って、第 2のェ 程としてレジストコ一ター 402、第 2の工程として露光装置としてのステッパー 403、第 3の工程としてレジストデベロッパー 404、第 4の工程としてエッチヤー 404が設置さ れている。
[0171] 次に図 14で、ステップ S1 (回路設計)では半導体デバイスの回路設計を行う。ステ ップ S2 (マスク製作)では設計した回路パターンを形成したマスクを製作する。一方、 ステップ S3 (ウェハ製造)ではシリコン等の材料を用いてウェハを製造する。ステップ S4 (ウェハプロセス)は前工程と呼ばれ、上記用意したマスクとウェハを用いて、リソグ ラフィ技術によってウェハ上に実際の回路を形成する。次のステップ S5 (組み立て) は後工程と呼ばれ、ステップ S4によって作製されたウェハを用いて半導体チップ化 する工程であり、アッセンブリ工程 (ダイシング、ボンディング)、ノ ッケージ工程 (チッ プ封入)等の組立て工程を含む。ステップ S6 (検査)ではステップ S 5で作製された半 導体デバイスの動作確認テスト、耐久性テスト等の検査を行う。こうした工程を経て半 導体デバイスが完成し、これを出荷 (ステップ S 7)する。
[0172] 図 14を参照して説明した半導体デバイスの全体的な製造プロセスにおいて、図 13 に示した半導体デバイス製造システムはウェハプロセス (ステップ S4)で使用される。 次に、図 15を参照して、半導体デバイス製造システムで実施されるウェハプロセスの 一例を説明する。
[0173] 図 15で、ステップ S 11 (酸化工程)ではウェハの表面を酸化させる。ステップ S12 (C VD工程)ではウェハ表面に絶縁膜を成膜する。ステップ S 13 (電極形成工程)ではゥ ェハ上に電極となる導電膜を PVDや CVDなどの蒸着によって形成する。ステップ S11 〜S13は、上記の真空処理装置 10において実施可能である。本発明の真空処理装 置の少なくとも 3つの処理チャンバにおける処理工程は、酸化工程、 CVD工程、電 極形成工程の 3つに限定されるものではなぐこれらの工程以外に、基板のタリー- ング、窒化、 PVD、プラズマ処理などの各種処理力も適宜選択される処理を行うこと ができる。
[0174] なおステップ S14 (イオン打込み工程)に示すごとぐウエノ、にイオンを打ち込むステ ップを別途に設けることもできる。
[0175] 次にステップ S 15 (レジスト処理工程)ではウエノ、に感光剤を塗布する。ステップ S1 5はレジストコ一ター 402で実施される。ステップ S 16 (露光工程)では上記説明した 露光装置によってマスクの回路パターンをウェハに焼付露光する。ステップ S 16はス テッパー 403で実施される。ステップ S 17 (現像工程)では露光したウェハを現像する 。ステップ S 17はレジストデベロッパー 404で実施される。ステップ S18 (エッチングェ 程)では現像したレジスト像以外の部分を削り取る。ステップ S18はエッチヤー 405で 実施される。ステップ S 19 (レジスト剥離工程)ではエッチングが済んで不要となった レジストを取り除く。ステップ S 19は、この例では、図示しないレジスト除去装置で実施 される。
[0176] なお前述の真空処理装置 10には、上記のステップ S18のエッチング工程およびス テツプ S 19のレジスト剥離工程を実施する機能を有した処理チャンバを備えることも できる。
[0177] 上記のステップを繰り返し行うことによって、ウェハ上に多重に回路パターンを形成 する。各工程で使用する製造機器は例えば遠隔保守システムによって保守がなされ る。
産業上の利用可能性
[0178] 本発明は、真空処理装置による基板の処理において、処理対象である基板の搬送 経路の選択の自由度を高め、基板の処理プロセスの組合せの自由度を高め、さらに 基板処理の効率ィ匕および生産性を高めるのに利用される。
図面の簡単な説明
[0179] [図 1]本発明に係る真空処理装置の基本的構成である第 1の実施例を概略的に示し た平面図である。
[図 2]本発明に係る真空処理装置の第 2の実施例を概略的に示した平面図である。
[図 3]第 2実施例に係る真空処理装置のおける基板の搬送経路の一例を示す平面図 である。
[図 4]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの代表的 な動作例を説明するための基板の移動経路図である。
[図 5]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの動作例 1 を説明するための基板の移動経路図である。 [図 6]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの動作例 2 を説明するための基板の移動経路図である。
[図 7]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの動作例 3 を説明するための基板の移動経路図である。
[図 8]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの動作例 4 を説明するための基板の移動経路図である。
[図 9]第 2実施例の真空処理装置を利用して実施される基板処理プロセスの動作例 5 を説明するための基板の移動経路図である。
[図 10A]第 2実施例の真空処理装置の基板の搬送経路の設定の仕方の第 1の例を 説明するための基板の搬送経路図である。
[図 10B]第 2実施例の真空処理装置の基板の搬送経路の設定の仕方の第 2の例を 説明するための基板の搬送経路図である。
[図 11]本発明に係る真空処理装置の第 3の実施形態を概略的に示した平面図であ る。
[図 12]本発明に係る真空処理装置の第 4の実施形態を概略的に示した平面図であ る。
[図 13]本発明に係る真空処理装置を利用した半導体デバイス製造システムの実施例 を示す全体構成図である。
[図 14]本実施例に係る半導体デバイス製造システムで実施される半導体デバイスの 全体的な製造プロセスのフローを示したフローチャートである。
[図 15]図 13に示した半導体デバイス製造システムで実施されるウェハプロセスのフロ 一の一例を詳細に示したフローチャートである。
符号の説明
1 真空処理装置
10 真空処理装置
11, 12, 13, 搬送チャンバ
12a, 13a, 14a 搬送ロボットアーム
21 共通真空チャンバ 31, 32 受渡し真空チャンバ
51, 52 53 処理チャンバ
61, 62, 63 処理チャンバ
71 -75 処理チャンバ
101 真空処理装置
301 真空処理装置

Claims

請求の範囲
[1] それぞれ内部に、基板を搬送するための搬送ロボットアーム(11a, 12a, 13a)を備 える少なくとも 3つの搬送チャンバ(11, 12, 13)と、
前記搬送チャンバの各々に少なくとも 1つ接続され、内部で前記基板を処理するた めの処理チャンバ(51, 52, 61, 62, 71, 72, 73, 74, 75)と、
内部に 1つ以上の基板載置部(21a ; 102a, 102b)が設けられ、少なくとも 3つの前 記搬送チャンバの各々の前記搬送ロボットアームが前記基板載置部に対して到達可 能に配置され、少なくとも 2つの前記搬送チャンバの各々と少なくとも 1つの前記基板 載置部の間で前記搬送ロボットアームによる前記基板の受渡しを行うための 1つの共 通真空チャンバ(21, 102)と、
少なくとも 1つの前記搬送チャンバに接続されたロードロックチャンバ(81, 82)とを 備えることを特徴とする真空処理装置。
[2] 前記搬送チャンバ(11, 12, 13)の前記搬送ロボットアーム(11a, 12a, 13a)は、 前記共通真空チャンバ(21, 102)内の前記基板載置部(21a ; 102a, 102b)に前 記基板を移送することが可能であることを特徴とする請求項 1記載の真空処理装置。
[3] 前記搬送チャンバが 4つ以上(11, 12, 13, 302) )備えられていることを特徴とす る請求項 1または 2記載の真空処理装置。
[4] 少なくとも 3つの前記搬送チャンバ(11, 12, 13)のうち少なくとも 1組の隣接する 2 つの搬送チャンバの間に 1つの受渡し真空チャンバ (31, 32)が設けられることを特 徴とする請求項 1記載の真空処理装置。
[5] 2つの前記搬送チャンバ(11, 12)の各々に個別に前記ロードロックチャンバ(81,
82)を設けたことを特徴とする請求項 1記載の真空処理装置。
[6] 前記共通真空チャンバ (21, 102)は、回転可能な前記基板載置部とァライメント用 センサとを備えていることを特徴とする請求項 1記載の真空処理装置。
[7] 前記共通真空チャンバ (21, 102)は、加熱用のヒータステージと冷却用のクーリン グステージのうちのいずれか一方または両方を備えていることを特徴とする請求項 1 記載の真空処理装置。
[8] 前記共通真空チャンバ (21, 102)は、前記基板の表面状態をモニタするモニタ装 置を備えていることを特徴とする請求項 1記載の真空処理装置。
[9] 前記共通真空チャンバ (21, 102)は、基板を複数枚を収納できるカセットと、この カセットを上下させる機構と、前記カセットを回転させる回転機構とを備えていることを 特徴とする請求項 1記載の真空処理装置。
[10] 前記搬送チャンバ、前記共通真空チャンバ、および前記受渡し真空チャンバの各 々はゲートバルブで仕切られて!/ヽることを特徴する請求項 1記載の真空処理装置。
[11] 同一の前記搬送チャンバに接続される複数の前記処理チャンバは、処理圧力と処 理による汚染の内容と程度に基づいて分けられた同一カテゴリに属する処理チャン バであることを特徴とする請求項 10記載の真空処理装置。
[12] 前記共通真空チャンバ (21, 102)は、基板のァライメント、基板の加熱、基板の冷 却、基板の表面状態のモニタ、基板のストックから選択される少なくともいずれか 1つ を実施するチャンバであることを特徴とする請求項 1記載の真空処理装置。
[13] 半導体デバイス製造方法であり、
請求項 1〜12のいずれか 1項に記載された真空処理装置を用意し、前記真空処理 装置を用いて、少なくとも 3つ処理を基板に施すことを特徴とする半導体デバイス製 造方法。
[14] ウェハプロセス (S4)を含む半導体デバイス製造方法であり、
前記ウェハプロセス(S4)は、
請求項 1〜12のいずれか 1項に記載された真空処理装置に備えられる少なくとも 3 つ処理チャンバのうちの第 1の処理チャンバで実施される酸ィ匕工程 (S11)と、 前記真空処理装置に備えられる第 2の処理チャンバで実施される CVD工程 (S 12) と、
前記真空処理装置に備えられる第 3の処理チャンバで実施される電極形成工程 (S 13)とを含む、
ことを特徴とする半導体デバイス製造方法。
[15] 前記ウェハプロセス(S4)は、前記真空処理装置で前記酸化工程 (S 11)と前記 CV D工程 (S12)と前記電極形成工程 (S13)が実施された後に、前記真空処理装置以 外の他の装置で実施されるレジスト処理工程 (S15)、露光工程 (S16)、現像工程 (S 17)、エッチング工程 (SI 8)を含むことを特徴とする請求項 14記載の半導体デバイ ス製造方法。
[16] ウェハプロセス(S4)を実施するための、請求項 1〜12のいずれか 1項に記載され た真空処理装置、レジストコ一ター (402)、ステッパー(403)、レジストデベロッパー( 404)、エッチヤー (405)を含む半導体デバイス製造システムであり、
前記ウェハプロセス(S4)は少なくとも 3種類の基板の処理工程(Sl l、 S12、 S13) を含み、
前記真空処理装置は、少なくとも 3種類の基板の処理工程を実施することを特徴と する半導体デバイス製造システム。
[17] ウェハプロセス(S4)を実施するための、請求項 1〜12のいずれか 1項に記載され た真空処理装置、レジストコ一ター (402)、ステッパー(403)、レジストデベロッパー( 404)、エッチヤー (405)を含む半導体デバイス製造システムであり、
前記ウェハプロセス(S4)は酸ィ匕工程 (S 11)と CVD工程 (S12)と電極形成工程 (S 13)を含み、
前記真空処理装置は、
前記酸ィ匕工程 (S11)を実施する第 1の処理チャンバと、
前記 CVD工程 (S12)を実施する第 2の処理チャンバと、
前記電極形成工程 (S13)を実施する第 3の処理チャンバとを備える、
ことを特徴とする半導体デバイス製造システム。
[18] 前記真空処理装置で前記酸化工程 (S11)と前記 CVD工程 (S12)と前記電極形 成工程 (S 13)が実施された後に、
前記レジストコ一ターはレジスト処理工程 (S 15)を実施し、
前記ステッパーは露光工程 (S 16)を実施し、
前記レジストデベロッパーは現像工程 (S 17)を実施し、
前記エッチヤーはエッチング工程 (S 18)を実施する、
ことを特徴とする請求項 17記載の半導体デバイス製造システム。
PCT/JP2006/314619 2005-07-25 2006-07-25 真空処理装置、半導体デバイス製造方法および半導体デバイス製造システム WO2007013424A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/989,319 US20080171435A1 (en) 2005-07-25 2006-07-25 Vacuum Processing Apparatus, Method for Manufacturing Semiconductor Device, and System For Manufacturing Semiconductor Device
JP2007528462A JP4794559B2 (ja) 2005-07-25 2006-07-25 真空処理装置および半導体デバイス製造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005214550 2005-07-25
JP2005-214550 2005-07-25

Publications (1)

Publication Number Publication Date
WO2007013424A1 true WO2007013424A1 (ja) 2007-02-01

Family

ID=37683320

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/314619 WO2007013424A1 (ja) 2005-07-25 2006-07-25 真空処理装置、半導体デバイス製造方法および半導体デバイス製造システム

Country Status (5)

Country Link
US (1) US20080171435A1 (ja)
JP (1) JP4794559B2 (ja)
KR (1) KR20080017493A (ja)
TW (1) TW200715448A (ja)
WO (1) WO2007013424A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2298959A1 (en) * 2008-06-06 2011-03-23 Ulvac, Inc. Film formation apparatus
JP2011114013A (ja) * 2009-11-24 2011-06-09 Sumitomo Electric Ind Ltd 半導体装置の製造装置および半導体装置の製造方法
CN103208447A (zh) * 2012-01-13 2013-07-17 诺发系统公司 双臂真空机械手
WO2013105295A1 (ja) * 2012-01-10 2013-07-18 株式会社日立ハイテクノロジーズ 真空処理装置
JP2014001424A (ja) * 2012-06-18 2014-01-09 Denso Corp 原子層蒸着装置
US9355878B2 (en) 2012-10-12 2016-05-31 Tdk Corporation Substrate processing apparatus
JP2017204508A (ja) * 2016-05-09 2017-11-16 キヤノン株式会社 基板処理装置、および物品製造方法
WO2018220731A1 (ja) * 2017-05-31 2018-12-06 アドバンストマテリアルテクノロジーズ株式会社 処理装置
JPWO2021210380A1 (ja) * 2020-04-13 2021-10-21
KR102460938B1 (ko) * 2021-05-10 2022-10-31 하나옵트로닉스 주식회사 Vcsel 내 기 설정된 직경을 갖는 전류주입구를 형성할 수 있는 선택적 산화장치
CN117535644A (zh) * 2023-12-07 2024-02-09 合肥致真精密设备有限公司 一种薄膜制备装置和系统

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
JP5947030B2 (ja) * 2010-12-28 2016-07-06 キヤノンアネルバ株式会社 基板処理方法、基板処理装置
US8834155B2 (en) * 2011-03-29 2014-09-16 Institute of Microelectronics, Chinese Academy of Sciences Wafer transfer apparatus and wafer transfer method
CN103187542B (zh) * 2011-12-29 2016-09-07 丽佳达普株式会社 有机发光元件封装装置以及有机发光元件封装方法
JP2014059924A (ja) * 2012-09-14 2014-04-03 Showa Denko Kk 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
TWI672760B (zh) * 2013-03-15 2019-09-21 美商應用材料股份有限公司 用於小批次基板傳送系統的溫度控制系統與方法
JP6175265B2 (ja) * 2013-04-02 2017-08-02 昭和電工株式会社 磁気記録媒体の製造方法
JP2016086100A (ja) * 2014-10-27 2016-05-19 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP6410689B2 (ja) * 2015-08-06 2018-10-24 住友重機械イオンテクノロジー株式会社 イオン注入装置及びそれを用いた複数枚のウェハの処理方法
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10781056B2 (en) 2016-12-22 2020-09-22 General Electric Company Adaptive apparatus and system for automated handling of components
US10773902B2 (en) 2016-12-22 2020-09-15 General Electric Company Adaptive apparatus and system for automated handling of components
IT201700092402A1 (it) * 2017-08-09 2019-02-09 Tapematic Spa Apparato per la finitura superficiale di articoli, e procedimento di finitura attuabile mediante detto apparato
EP3867047A1 (en) 2018-10-15 2021-08-25 General Electric Company Systems and methods of automated film removal
JP7091227B2 (ja) * 2018-11-05 2022-06-27 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN110931399A (zh) * 2019-12-23 2020-03-27 武汉大学 一种多种检测功能的rie半导体材料刻蚀装置
CN111235543B (zh) * 2020-01-21 2022-03-15 南京京东方显示技术有限公司 一种真空腔旋转角度异常的校正装置及方法
TW202147503A (zh) * 2020-05-12 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
CN112853293A (zh) * 2021-01-06 2021-05-28 中国原子能科学研究院 一种镀膜装置
CN113879846A (zh) * 2021-08-30 2022-01-04 华研芯测半导体(苏州)有限公司 一种内置传送机构的级联真空腔装置及传送方法
CN115287607B (zh) * 2022-08-16 2024-03-19 无锡乘风航空工程技术有限公司 一种高效率的涡轮叶片电子束物理气相沉积装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03241853A (ja) * 1990-02-20 1991-10-29 Teru Barian Kk 処理装置
JP2000269304A (ja) * 1999-03-18 2000-09-29 Tokyo Electron Ltd 基板処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US20070281090A1 (en) * 2006-04-11 2007-12-06 Shinichi Kurita System architecture and method for solar panel formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03241853A (ja) * 1990-02-20 1991-10-29 Teru Barian Kk 処理装置
JP2000269304A (ja) * 1999-03-18 2000-09-29 Tokyo Electron Ltd 基板処理装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2298959A1 (en) * 2008-06-06 2011-03-23 Ulvac, Inc. Film formation apparatus
EP2298959A4 (en) * 2008-06-06 2014-08-13 Ulvac Inc FILM FORMING APPARATUS
JP2011114013A (ja) * 2009-11-24 2011-06-09 Sumitomo Electric Ind Ltd 半導体装置の製造装置および半導体装置の製造方法
WO2013105295A1 (ja) * 2012-01-10 2013-07-18 株式会社日立ハイテクノロジーズ 真空処理装置
JP2013143413A (ja) * 2012-01-10 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
CN103208447A (zh) * 2012-01-13 2013-07-17 诺发系统公司 双臂真空机械手
JP2014001424A (ja) * 2012-06-18 2014-01-09 Denso Corp 原子層蒸着装置
US9355878B2 (en) 2012-10-12 2016-05-31 Tdk Corporation Substrate processing apparatus
JP2017204508A (ja) * 2016-05-09 2017-11-16 キヤノン株式会社 基板処理装置、および物品製造方法
WO2018220731A1 (ja) * 2017-05-31 2018-12-06 アドバンストマテリアルテクノロジーズ株式会社 処理装置
JPWO2021210380A1 (ja) * 2020-04-13 2021-10-21
JP7383133B2 (ja) 2020-04-13 2023-11-17 東京エレクトロン株式会社 接合システム
KR102460938B1 (ko) * 2021-05-10 2022-10-31 하나옵트로닉스 주식회사 Vcsel 내 기 설정된 직경을 갖는 전류주입구를 형성할 수 있는 선택적 산화장치
CN117535644A (zh) * 2023-12-07 2024-02-09 合肥致真精密设备有限公司 一种薄膜制备装置和系统

Also Published As

Publication number Publication date
KR20080017493A (ko) 2008-02-26
JPWO2007013424A1 (ja) 2009-02-05
JP4794559B2 (ja) 2011-10-19
TW200715448A (en) 2007-04-16
US20080171435A1 (en) 2008-07-17

Similar Documents

Publication Publication Date Title
WO2007013424A1 (ja) 真空処理装置、半導体デバイス製造方法および半導体デバイス製造システム
KR100412543B1 (ko) 진공처리장치
JP4810510B2 (ja) 半導体素子の製造装置
KR101888000B1 (ko) 기판 처리 장치
JP2006190894A (ja) クラスタツールの処理システム及びモジュール・サイクル時間監視プログラム
JP2022191406A (ja) 最適化された低エネルギ/高生産性の蒸着システム
KR100659413B1 (ko) 피처리체의 반송 방법
CN107731710B (zh) 用于衬底处理系统的装载站和衬底处理工具
US11600503B2 (en) High-throughput, multi-chamber substrate processing system
US10128116B2 (en) Integrated direct dielectric and metal deposition
JP2018529236A (ja) 大面積デュアル基板処理システム
JP4477982B2 (ja) クラスタツールの処理システム及び滞在時間監視プログラム
JP2013182961A (ja) 半導体製造装置及び半導体装置の製造方法
JP2018110198A (ja) 基板処理装置
JPH04137613A (ja) 半導体装置の製造装置
JP2005197543A (ja) 基板処理装置
JP2006222328A (ja) 基板処理装置
JP4587815B2 (ja) 基板処理システム、基板処理システムの制御方法、及び基板処理方法
JP2005252105A (ja) 基板処理装置
JP2009024229A (ja) 基板処理装置
JP2009200078A (ja) 基板処理装置
JP2008311365A (ja) 基板処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007528462

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020087002068

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 11989319

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 06781531

Country of ref document: EP

Kind code of ref document: A1