US20130105817A1 - High electron mobility transistor structure and method - Google Patents

High electron mobility transistor structure and method Download PDF

Info

Publication number
US20130105817A1
US20130105817A1 US13/282,424 US201113282424A US2013105817A1 US 20130105817 A1 US20130105817 A1 US 20130105817A1 US 201113282424 A US201113282424 A US 201113282424A US 2013105817 A1 US2013105817 A1 US 2013105817A1
Authority
US
United States
Prior art keywords
layer
gate
angstroms
barrier layer
gate dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/282,424
Other languages
English (en)
Inventor
Paul Saunier
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qorvo US Inc
Original Assignee
Triquint Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Triquint Semiconductor Inc filed Critical Triquint Semiconductor Inc
Priority to US13/282,424 priority Critical patent/US20130105817A1/en
Assigned to TRIQUINT SEMICONDUCTOR, INC. reassignment TRIQUINT SEMICONDUCTOR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SAUNIER, PAUL
Priority to FR1260037A priority patent/FR2982078A1/fr
Priority to JP2012235492A priority patent/JP2013118360A/ja
Priority to DE102012020978A priority patent/DE102012020978A1/de
Publication of US20130105817A1 publication Critical patent/US20130105817A1/en
Priority to US13/941,413 priority patent/US9054167B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • Embodiments of the present disclosure generally relate to the field of integrated circuits, and more particularly, to a high electron mobility transistor (HEMT) structure and method of fabrication.
  • HEMT high electron mobility transistor
  • a high electron mobility transistor is a type of field effect transistor (FET) in which a heterojunction is generally formed between two semiconductor materials having different bandgaps.
  • FET field effect transistor
  • high mobility charge carriers are generally generated using, for example, a heterojunction of a highly-doped wide bandgap n-type donor-supply layer and a non-doped narrow bandgap channel layer.
  • Current in a HEMT is generally confined to a very narrow channel at the junction, and flows between source and drain terminals, the current being controlled by a voltage applied to a gate terminal.
  • a transistor may be classified as a depletion mode transistor or an enhancement mode transistor.
  • FIG. 1 schematically illustrates a cross-section view of an integrated circuit (IC) device, according to various embodiments.
  • FIG. 2 schematically illustrates a cross-section view of another integrated circuit (IC) device, according to various embodiments.
  • FIG. 3 schematically illustrates a cross-section view of yet another integrated circuit (IC) device, according to various embodiments.
  • FIG. 4 is a flow diagram of a method for fabricating an integrated circuit device, according to various embodiments.
  • FIG. 5 schematically illustrates an example system including an IC device, according to various embodiments.
  • Embodiments of the present disclosure provide structural configurations of an integrated circuit (IC) device such as, for example, a high electron mobility transistor (HEMT) switch device, and method of fabrication.
  • IC integrated circuit
  • HEMT high electron mobility transistor
  • phrase “A and/or B” means (A), (B), or (A and B).
  • phrase “A, B, and/or C” means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B and C).
  • the description may use the phrases “in an embodiment,” or “in embodiments,” which may each refer to one or more of the same or different embodiments.
  • the terms “comprising,” “including,” “having,” and the like, as used with respect to embodiments of the present disclosure, are synonymous.
  • the term “coupled” may refer to a direct connection, an indirect connection, or an indirect communication.
  • Coupled may mean one or more of the following. “Coupled” may mean that two or more elements are in direct physical or electrical contact. However, “coupled” may also mean that two or more elements indirectly contact each other, but yet still cooperate or interact with each other, and may mean that one or more other elements are coupled or connected between the elements that are said to be coupled with each other.
  • the phrase “a first layer formed on a second layer,” may mean that the first layer is formed over the second layer, and at least a part of the first layer may be in direct contact (e.g., direct physical and/or electrical contact) or indirect contact (e.g., having one or more other layers between the first layer and the second layer) with at least a part of the second layer.
  • direct contact e.g., direct physical and/or electrical contact
  • indirect contact e.g., having one or more other layers between the first layer and the second layer
  • FIG. 1 schematically illustrates a cross-section view of an integrated circuit (IC) device 100 , according to various embodiments.
  • the IC device 100 may be, for example, a HEMT device.
  • the IC device 100 may be fabricated on a substrate 102 .
  • the substrate 102 generally includes a support material upon which a stack 101 of layers is deposited.
  • the substrate 102 includes silicon (Si), silicon carbide (SiC), aluminum oxide (Al 2 O 3 ) or “sapphire,” gallium nitride (GaN), and/or aluminum nitride (AlN).
  • Other materials including suitable group II-VI and group III-V semiconductor material systems can be used for the substrate 102 in other embodiments.
  • the substrate 102 includes any material upon which GaN can be epitaxially grown.
  • the stack of layers 101 may include epitaxially deposited layers of different material systems that form one or more heterojunctions/heterostructures.
  • the stack 101 of the IC device 100 includes a buffer layer 104 formed on the substrate 102 .
  • the buffer layer 104 may provide a crystal structure transition between the substrate 102 and other components (e.g., channel layer 106 ) of the IC device 100 , thereby acting as a buffer or isolation layer between the substrate 102 and other components of the IC device 100 .
  • the buffer layer 104 may provide stress relaxation between the substrate 102 and other lattice-mismatched materials (e.g., the channel layer 106 ).
  • the buffer layer 104 may be epitaxially coupled with the substrate 102 .
  • the buffer layer 104 may include aluminum gallium nitride (Al x Ga 1-x N), where x is a value between 0 and 1 that represents relative quantities of aluminum and gallium.
  • x has a value between about 0.05 (e.g., 5% Al) and about 1 (e.g., 100% Al).
  • a value for x may be selected based on a desired pinch-off voltage for the IC device 100 . For example, increasing a percentage (%) of Al in the Al x Ga 1-x N may correspond with decreasing a pinch-off voltage of the IC device 100 . In some embodiments, a 5% change in the Al composition results in about a 1 volt (V) shift of the pinch-off voltage.
  • the buffer layer 104 may have a thickness between about 0.1 microns and about 2 microns in a direction that is substantially perpendicular to a surface of the substrate 102 upon which the buffer layer 104 is formed.
  • the buffer layer 104 may include other suitable materials such as AlInGaN and/or thicknesses in other embodiments.
  • the stack 101 may further include a channel layer 106 formed on the buffer layer 104 .
  • the channel layer 106 may provide a pathway for current flow of mobile charge carriers between a source terminal, hereinafter source 112 , and a drain terminal, hereinafter drain 114 , of the IC device 100 .
  • the difference in bandgap values for various layers of the IC device 100 creates a heterojunction that is generally at the interface of a wider bandgap donor-supply layer (e.g., spacer layer 108 and/or barrier layer 110 ), which may be doped, and a narrower bandgap layer (e.g., the channel layer 106 ), which may not be doped.
  • a wider bandgap donor-supply layer e.g., spacer layer 108 and/or barrier layer 110
  • a narrower bandgap layer e.g., the channel layer 106
  • a two-dimensional electron gas may form at the heterojunction allowing, for example, electrons to flow in a substantially two-dimensional plane through the channel layer 106 .
  • the channel layer 106 may be epitaxially coupled with the buffer layer 104 .
  • the channel layer 106 may include gallium nitride (GaN).
  • the channel layer 106 may have a thickness between about 50 angstroms and about 150 angstroms in a direction that is substantially perpendicular to a surface of the buffer layer 104 upon which the channel layer 106 is formed.
  • a thickness of the channel layer 106 may be selected based on a desired pinch-off voltage for the IC device 100 . For example, decreasing a thickness of the channel layer 106 may correspond with increasing a pinch-off voltage of the IC device 100 .
  • the channel layer 106 may include other suitable materials such as InGaN and/or thicknesses in other embodiments.
  • the stack 101 of layers may further include a spacer layer 108 formed on the channel layer 106 .
  • the spacer layer 108 may be epitaxially coupled with the channel layer 106 .
  • the spacer layer 108 may include aluminum nitride (AlN) and have a thickness between about 5 angstroms and 30 angstroms in a direction that is substantially perpendicular to a surface of the channel layer 106 upon which the spacer layer 108 is formed.
  • the spacer layer 108 may include other suitable materials and/or thicknesses in other embodiments.
  • the spacer layer 108 is a growth layer or seed layer that facilitates crystalline formation of the barrier layer 110 .
  • the stack 101 of layers may further include a barrier layer 110 formed on the spacer layer 108 .
  • the barrier layer 110 may be epitaxially coupled with the spacer layer 108 .
  • the barrier layer 110 may include aluminum (Al), nitrogen (N), and at least one of indium (In) and/or gallium (Ga).
  • the barrier layer 110 includes indium aluminum nitride (In y Al 1-y N), where y is a value between 0 and 1 that represents relative quantities of indium and aluminum.
  • the composition of the barrier layer 110 may complement the composition of the channel layer 106 .
  • variance from an 18% concentration of indium may increase lattice structure mismatch, such variance may also provide desirable operating characteristics for particular embodiments. For example, decreasing the concentration of indium to 13%, for example, may induce more charge (current) but may also increase the stress in the IC device 100 . Conversely, increasing the concentration of indium to 21%, for example, may induce less charge but may also reduce the overall stress in the IC device 100 .
  • y has a value between about 0.13 and about 0.21. Other values for y can be used in other embodiments.
  • the barrier layer 110 may include indium gallium nitride (In y Ga 1-y N) where y is a value between 0 and 1 that represents a relative quantity of indium and gallium.
  • the barrier layer 110 may include indium gallium aluminum nitride (In y Ga z Al 1-y-z N), where y and z are each a value between 0 and 1 that represents a relative quantity of indium and gallium, respectively.
  • the relative quantity of aluminum can be calculated based on the relative quantities of indium and gallium.
  • the barrier layer 110 may have a thickness between about 50 angstroms and about 150 angstroms in a direction that is substantially perpendicular to a surface of the spacer layer 108 upon which the barrier layer 110 is formed.
  • the barrier layer 110 may include other suitable materials and/or thicknesses in other embodiments.
  • the IC device 100 further includes a gate structure 120 having a gate terminal 118 and a gate dielectric 116 .
  • the gate terminal 118 serves as a connection terminal for the IC device 100 and the gate dielectric 116 reduces current flow in the IC device 100 to substantially zero when the IC device 100 is switched off.
  • the gate dielectric 116 may be formed on the spacer layer 108 .
  • the barrier layer 110 can be selectively recessed to form an opening that allows deposition of an electrically insulative material to form the gate dielectric 116 on the spacer layer 108 .
  • the gate dielectric is directly coupled with the spacer layer 108 .
  • the gate dielectric 116 includes aluminum oxide (Al 2 O 3 ), silicon nitride (SiN), hafnium oxide (HfO 2 ), silicon dioxide (SiO 2 ) or silicon oxy-nitride (SiON).
  • the gate dielectric 116 has a thickness between about 20 angstroms and 200 angstroms. Other materials and/or thicknesses can be used for the gate dielectric 116 in other embodiments including, for example, other stoichiometries or relative quantities of the elements for the example materials listed above.
  • the gate terminal 118 may be formed on the gate dielectric 116 and directly coupled with the gate dielectric 116 . In some embodiments, a portion of the gate terminal 118 is formed in the opening of the barrier layer 110 . The portion of the gate terminal 118 formed in the opening of the barrier layer 110 may be part of a trunk or bottom portion of the gate terminal 118 , as can be seen. A top portion of the gate terminal 118 may extend away from the trunk portion of the gate terminal 118 in opposing directions that are substantially perpendicular to a lengthwise direction of the trunk portion of the gate terminal 118 , as can be seen. Such configuration of the trunk portion and top portion of the gate terminal 118 may be referred to as a T-shaped gate. In some embodiments, the gate terminal 118 may include a field-plate gate, which may increase a breakdown voltage and/or reduce an electric field between the gate terminal 118 and the drain 114 .
  • the gate terminal 118 generally includes an electrically conductive material such as a metal.
  • the gate terminal 118 includes nickel (Ni), platinum (Pt), iridium (Ir), molybdenum (Mo), gold (Au), and/or aluminum (Al).
  • the gate terminal 118 includes material deposited in the following order: Ni followed by Pt, which is followed by Ir, which is followed by Mo, which is followed by Au.
  • a material including Ni/Pt/Ir/Mo is disposed in the trunk portion of the gate terminal 118 to provide a gate contact with the gate dielectric 116 material and a material including Au is disposed in the top portion of the gate terminal 118 to ensure conductivity and low resistance of the gate terminal 118 .
  • the gate terminal 118 , the gate dielectric 116 , and the spacer layer 108 are respective components of a metal-insulator-semiconductor (MIS) structure.
  • the gate terminal 118 may be capacitively coupled with the spacer layer 108 and/or channel layer 106 through the gate dielectric 116 .
  • the MIS structure that includes the gate dielectric 116 may provide an effective switch device for power-switch applications including power conditioning applications such as, for example, Alternating Current (AC)-Direct Current (DC) converters, DC-DC converters, DC-AC converters, and the like.
  • a Schottky-type barrier between the gate terminal 118 and the spacer layer 108 or channel layer 106 may not be ideal or effective in such switch applications owing to more persistent current flow/leakage when an off-voltage is applied to the Schottky-type barrier.
  • the IC device 100 may include an enhancement mode (e-mode) switch device, which uses a positive gate voltage of gate terminal 118 with respect to source voltage of source 112 in order for current to flow in the IC device 100 .
  • e-mode enhancement mode
  • d-mode depletion mode
  • the ability to operate the IC device 100 as an e-mode switch, as opposed to a d-mode switch, may be due in part to the material systems described and used for the stack 101 and/or the tuning of the pinch-off voltage by varying the thickness and/or materials (e.g., Al) of the buffer layer 104 and/or the channel layer 106 as described herein.
  • Configurations described herein for the IC device 100 may provide an e-mode switch device having a pinch-off voltage greater than 1 volt (V) and a relatively high maximum current density of about 2.5 amperes (A)/millimeter (mm) of gate width.
  • the IC device 100 may include a source 112 and drain 114 formed on the barrier layer 110 .
  • Each of the source 112 and the drain 114 may extend through the barrier layer 110 and the spacer layer 108 into the channel layer 106 , as can be seen.
  • the source 112 and the drain 114 are ohmic contacts.
  • the source 112 and the drain 114 may include re-grown contacts that may provide a relatively lower contact resistance than standard grown contacts. In embodiments, the contact resistance of the source 112 and the drain 114 is about 0.01 ohm ⁇ mm.
  • the source 112 and the drain 114 may each include an electrically conductive material such as metal.
  • each of the source 112 and the drain 114 include titanium (Ti), aluminum (Al), molybdenum (Mo), gold (Au), or silicon (Si), or combinations thereof. Other materials can be used in other embodiments.
  • a distance D 1 between the drain 114 and the gate 118 is greater than a distance S 1 between the source 112 and the gate 118 .
  • the distance D 1 may be a shortest distance between the drain 114 and the gate 118 and the distance S 1 may be a shortest distance between the source 112 and the gate 118 in some embodiments. Providing a shorter distance S 1 than distance D 1 may increase a gate 118 to drain 114 breakdown voltage and/or reduce source 112 resistance.
  • a dielectric layer 122 may be formed on the barrier layer 110 in some embodiments.
  • the dielectric layer 112 may include, for example, silicon nitride (SiN). Other materials can be used for the dielectric layer 122 in other embodiments.
  • FIG. 2 schematically illustrates a cross-section view of another integrated circuit (IC) device 200 , according to various embodiments.
  • the IC device 200 of FIG. 2 may comport with embodiments described in connection with the IC device 100 of FIG. 1 except that the gate structure 120 of the IC device 200 extends into the spacer layer 108 .
  • the gate dielectric 116 may extend into the spacer layer 108 .
  • the spacer layer 108 may be recessed, at least in part, using a process that is similar or the same as the process used to recess the barrier layer 110 .
  • the gate dielectric 116 may be formed in the recessed portion of the spacer layer 108 and the gate 118 may be formed on the gate dielectric 116 .
  • FIG. 3 schematically illustrates a cross-section view of yet another integrated circuit (IC) device 300 , according to various embodiments.
  • the IC device 300 of FIG. 3 may comport with embodiments described in connection with the IC device 100 of FIG. 1 except that the gate structure 120 of the IC device 300 extends into the channel layer 106 .
  • the gate dielectric 116 may extend into the channel layer 108 .
  • the spacer layer 108 and the channel layer 106 may be recessed using a process that is similar or the same as the process used to recess the barrier layer 110 .
  • the gate dielectric 116 may be formed in the recessed portion of the channel layer 106 and the gate 118 may be formed on the gate dielectric 116 .
  • the gate dielectric 116 may be formed on the buffer layer 104 by recessing through the channel layer 106 or may be formed to extend into the buffer layer 104 by recessing a portion of the buffer layer 104 .
  • FIG. 4 is a flow diagram of a method 400 for fabricating an IC device (e.g., the IC device 100 of FIG. 1 ), according to various embodiments.
  • the method 400 may include forming a buffer layer (e.g., buffer layer 104 of FIG. 1 ) on a substrate (e.g., substrate 102 of FIG. 1 ) at 402 , forming a channel layer (e.g., channel layer 106 of FIG. 1 ) on the buffer layer at 404 , forming a spacer layer (e.g., spacer layer 108 of FIG. 1 ) on the channel layer at 406 , and forming a barrier layer (e.g., barrier layer 110 of FIG. 1 ) on the spacer layer at 408 .
  • a buffer layer e.g., buffer layer 104 of FIG. 1
  • a substrate e.g., substrate 102 of FIG. 1
  • a channel layer e.g., channel layer 106 of FIG. 1
  • each of the buffer layer, the channel layer, the spacer layer, and the barrier layer is epitaxially deposited by molecular beam epitaxy (MBE), atomic layer epitaxy (ALE), chemical beam epitaxy (CBE) and/or metal-organic chemical vapor deposition (MOCVD).
  • MBE molecular beam epitaxy
  • ALE atomic layer epitaxy
  • CBE chemical beam epitaxy
  • MOCVD metal-organic chemical vapor deposition
  • Other suitable deposition techniques can be used in other embodiments.
  • the forming of layers at 402 , 404 , 406 , and 408 may provide a stack (e.g., stack 101 of FIG. 1 ) of epitaxially coupled layers. Materials and/or thicknesses for the layers of the stack may comport with embodiments already described in connection with the IC device 100 of FIG. 1 .
  • the method 400 may further include forming a source (e.g., source 112 of FIG. 1 ) and drain (e.g., drain 114 of FIG. 1 ).
  • the source and drain may be formed on the barrier layer.
  • materials such as one or more metals are deposited on the barrier layer in an area where the source and drain are to be formed using, e.g., an evaporation process.
  • the materials used to form the source and the drain can include metals deposited in the following order: titanium (Ti) followed by aluminum (Al), which is followed by molybdenum (Mo), which is followed by titanium (Ti), which is followed by gold (Au).
  • the deposited materials are heated (e.g., to about 850° C.
  • each of the source and the drain extends through the barrier layer and the spacer layer into the channel layer.
  • a thickness of the source and the drain can be between about 1000 angstroms and 2000 angstroms. Other thicknesses for the source and the drain can be used in other embodiments.
  • the source and the drain may be formed by a re-growth process to provide ohmic contacts having a reduced contact resistance or reduced on resistance.
  • material of the barrier layer, the spacer layer, and the channel layer is selectively removed (e.g., etched) in areas where the source and the drain are to be formed.
  • a highly doped material e.g., n++ material
  • the highly doped material of the source and drain may be a similar material as the material used for the channel layer.
  • a GaN-based material that is highly doped with silicon (Si) may be epitaxially deposited in the selectively removed areas to a thickness between about 400 angstroms and 700 angstroms.
  • the highly doped material can be epitaxially deposited by molecular beam epitaxy (MBE), atomic layer epitaxy (ALE), chemical beam epitaxy (CBE), or metal-organic chemical vapor deposition (MOCVD), or suitable combinations thereof.
  • MBE molecular beam epitaxy
  • ALE atomic layer epitaxy
  • CBE chemical beam epitaxy
  • MOCVD metal-organic chemical vapor deposition
  • One or more metals including, e.g., titanium (Ti) and/or gold (Au) can be formed/deposited on the highly doped material at a thickness between about 1000 angstroms and 1500 angstroms using, e.g., a lift-off process.
  • Other materials, thicknesses, and/or techniques for the one or more metals can be used in other embodiments.
  • the source and the drain may be formed by an implantation process that uses implantation techniques to introduce an impurity (e.g., silicon) to provide a highly doped material in the source and the drain.
  • an impurity e.g., silicon
  • the source and the drain are annealed at a high temperature (e.g., 1100-1200° C.).
  • the re-growth process may preferably avoid the high temperature associated with the post-implantation anneal.
  • the method 400 may further include forming a gate structure (e.g., the gate structure 120 of FIG. 1 ).
  • the gate structure may include an electrically conductive portion or gate (e.g., gate 118 of FIG. 1 ) and an electrically insulative portion or gate dielectric (e.g., gate dielectric 116 of FIG. 1 ).
  • the gate dielectric may be formed on the spacer layer.
  • a portion of the barrier layer may be selectively recessed to provide an opening through the barrier layer.
  • an etch process selectively removes material of the barrier layer to expose the spacer layer.
  • a dielectric layer (e.g., dielectric layer 122 of FIG. 1 ) is formed on the barrier layer and photoresist is deposited on the dielectric layer and patterned to provide an opening that corresponds with the opening to be formed in the barrier layer.
  • an anisotropic etch process may selectively remove material from the dielectric layer and underlying barrier layer to expose the spacer layer for deposition of the gate dielectric material.
  • the spacer layer may be treated and/or cleaned to minimize trap density between the gate dielectric and the spacer layer.
  • an anisotropic etch process may remove material from the dielectric layer, the barrier layer, the spacer layer (e.g., the spacer layer 108 of FIG. 2 ), the channel layer (e.g., the channel layer 106 of FIG. 3 ), and/or the buffer layer to allow the formation of the gate dielectric such that the gate dielectric extends into the spacer layer, the channel layer, and/or the buffer layer as described in connection with FIGS. 2 and 3 . Similar treatment and/or cleaning may be used to minimize trap density between the gate dielectric and the channel layer or between the gate dielectric and the buffer layer in some embodiments.
  • the gate dielectric material may be deposited on the spacer layer by any suitable deposition technique including, for example, atomic layer deposition (ALD) or chemical vapor deposition (CVD). Other deposition techniques can be used in other embodiments. Thicknesses and/or materials for the gate dielectric may comport with embodiments already described in connection with FIG. 1 .
  • the gate may be formed on the gate dielectric by depositing a gate material on the gate dielectric in the opening of the barrier layer.
  • the gate material can be deposited by any suitable deposition process including, for example, evaporation, ALD and/or CVD.
  • Nickel (Ni) is deposited first on the gate dielectric followed by sequential deposition of platinum (Pt), iridium (Ir), and molybdenum (Mo).
  • Gold (Au) may be sequentially deposited on the molybdenum.
  • a trunk portion of the T-gate may be formed by depositing one or more metals to fill the opening formed in the barrier layer and in the dielectric layer to facilitate formation of the gate dielectric.
  • a top portion of the T-gate may be formed by metal deposition/etch processes or a lift-off process.
  • Embodiments of an IC device 100 described herein, and apparatuses including such IC device 100 may be incorporated into various other apparatuses and systems.
  • a block diagram of an example system 500 is illustrated in FIG. 5 .
  • the system 500 includes a power amplifier (PA) module 502 , which may be a Radio Frequency (RF) PA module in some embodiments.
  • the system 500 may include a transceiver 504 coupled with the power amplifier module 502 as illustrated.
  • the power amplifier module 502 may include an IC device (e.g., the IC device 100 , 200 , or 300 of FIGS. 1-3 ) described herein.
  • the power amplifier module 502 may receive an RF input signal, RFin, from the transceiver 504 .
  • the power amplifier module 502 may amplify the RF input signal, RFin, to provide the RF output signal, RFout.
  • the RF input signal, RFin, and the RF output signal, RFout may both be part of a transmit chain, respectively noted by Tx-RFin and Tx-RFout in FIG. 5 .
  • the amplified RF output signal, RFout may be provided to an antenna switch module (ASM) 506 , which effectuates an over-the-air (OTA) transmission of the RF output signal, RFout, via an antenna structure 508 .
  • ASM 506 may also receive RF signals via the antenna structure 508 and couple the received RF signals, Rx, to the transceiver 504 along a receive chain.
  • the antenna structure 508 may include one or more directional and/or omnidirectional antennas, including, e.g., a dipole antenna, a monopole antenna, a patch antenna, a loop antenna, a microstrip antenna or any other type of antenna suitable for OTA transmission/reception of RF signals.
  • the system 500 may be any system including power amplification.
  • the IC device 100 , 200 , or 300 may provide an effective switch device for power-switch applications including power conditioning applications such as, for example, Alternating Current (AC)-Direct Current (DC) converters, DC-DC converters, DC-AC converters, and the like.
  • the system 500 may be particularly useful for power amplification at high radio frequency power and frequency.
  • the system 500 may be suitable for any one or more of terrestrial and satellite communications, radar systems, and possibly in various industrial and medical applications. More specifically, in various embodiments, the system 500 may be a selected one of a radar device, a satellite communication device, a mobile handset, a cellular telephone base station, a broadcast radio, or a television amplifier system.
US13/282,424 2011-10-26 2011-10-26 High electron mobility transistor structure and method Abandoned US20130105817A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US13/282,424 US20130105817A1 (en) 2011-10-26 2011-10-26 High electron mobility transistor structure and method
FR1260037A FR2982078A1 (fr) 2011-10-26 2012-10-22 Structure de transistor a haute mobilite d'electrons, et procede
JP2012235492A JP2013118360A (ja) 2011-10-26 2012-10-25 高電子移動度トランジスタ構造及び方法
DE102012020978A DE102012020978A1 (de) 2011-10-26 2012-10-25 Transistoranordnung mit hoher Elektronenbeweglichkeit und Verfahren
US13/941,413 US9054167B2 (en) 2011-10-26 2013-07-12 High electron mobility transistor structure and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/282,424 US20130105817A1 (en) 2011-10-26 2011-10-26 High electron mobility transistor structure and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/941,413 Division US9054167B2 (en) 2011-10-26 2013-07-12 High electron mobility transistor structure and method

Publications (1)

Publication Number Publication Date
US20130105817A1 true US20130105817A1 (en) 2013-05-02

Family

ID=48084412

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/282,424 Abandoned US20130105817A1 (en) 2011-10-26 2011-10-26 High electron mobility transistor structure and method
US13/941,413 Active US9054167B2 (en) 2011-10-26 2013-07-12 High electron mobility transistor structure and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/941,413 Active US9054167B2 (en) 2011-10-26 2013-07-12 High electron mobility transistor structure and method

Country Status (4)

Country Link
US (2) US20130105817A1 (ja)
JP (1) JP2013118360A (ja)
DE (1) DE102012020978A1 (ja)
FR (1) FR2982078A1 (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261720A1 (en) * 2011-04-15 2012-10-18 Stmicroelectronics S.R.I. Method for manufacturing a hemt transistor and corresponding hemt transistor
US20130082305A1 (en) * 2011-09-30 2013-04-04 Cheng-Guan Yuan Structure of a high electron mobility transistor and a fabrication method thereof
US20130221364A1 (en) * 2012-02-23 2013-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20130256683A1 (en) * 2012-03-28 2013-10-03 Fujitsu Limited Compound semiconductor and method of manufacturing the same
US20140138743A1 (en) * 2011-08-01 2014-05-22 Murata Manufacturing Co., Ltd. Field effect transistor
KR20150017546A (ko) * 2013-08-07 2015-02-17 엘지이노텍 주식회사 전력 반도체 소자
US20150155358A1 (en) * 2013-12-02 2015-06-04 International Rectifier Corporation Group III-V Transistor with Semiconductor Field Plate
CN105633143A (zh) * 2014-10-31 2016-06-01 财团法人工业技术研究院 增强型氮化镓晶体管器件
US20170077283A1 (en) * 2015-09-16 2017-03-16 Sumitomo Electric Industries, Ltd. High electron mobility transistor and method of forming the same using atomic layer deposition technique
US20170141218A1 (en) * 2015-11-12 2017-05-18 Stmicroelectronics S.R.L. Method for manufacturing a hemt transistor and hemt transistor with improved electron mobility
US9679762B2 (en) * 2015-03-17 2017-06-13 Toshiba Corporation Access conductivity enhanced high electron mobility transistor
DE102016122399A1 (de) 2016-11-21 2018-05-24 Forschungsverbund Berlin E.V. Gate-Struktur und Verfahren zu dessen Herstellung
CN108140563A (zh) * 2015-11-02 2018-06-08 日本碍子株式会社 半导体元件用外延基板、半导体元件和半导体元件用外延基板的制造方法
US10084074B1 (en) * 2017-03-24 2018-09-25 Qualcomm Incorporated Compound semiconductor field effect transistor gate length scaling
US20180286973A1 (en) * 2017-03-30 2018-10-04 Kabushiki Kaisha Toshiba High frequency device
CN109755301A (zh) * 2019-01-15 2019-05-14 中山大学 一种高质量栅界面的GaN MISFET器件及其制备方法
WO2019096528A1 (de) 2017-11-17 2019-05-23 Forschungsverbund Berlin E.V. Gate-struktur und verfahren zu deren herstellung
US20200006594A1 (en) * 2017-03-02 2020-01-02 Osram Opto Semiconductors Gmbh Semiconductor Body
CN110854185A (zh) * 2014-05-30 2020-02-28 台达电子工业股份有限公司 半导体装置
US10770552B2 (en) * 2015-11-02 2020-09-08 Ngk Insulators, Ltd. Epitaxial substrate for semiconductor elements, semiconductor element, and manufacturing method for epitaxial substrates for semiconductor elements
US11127847B2 (en) * 2019-05-16 2021-09-21 Vanguard International Semiconductor Corporation Semiconductor devices having a gate field plate including an extension portion and methods for fabricating the semiconductor device
US11158702B2 (en) * 2017-03-03 2021-10-26 Shanghai Simgui Technology Co., Ltd. Gallium nitride high electron mobility transistor having high breakdown voltage and formation method therefor
US20210399119A1 (en) * 2020-06-23 2021-12-23 Intel Corporation Transition metal-iii-nitride alloys for robust high performance hemts

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245991B2 (en) 2013-08-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, high electron mobility transistor (HEMT) and method of manufacturing
JP2015192004A (ja) * 2014-03-28 2015-11-02 国立大学法人 名古屋工業大学 ドレイン電流密度・相互コンダクタンスを大幅に改善したリセス構造のmis型ノーマリオフhemt素子
US9761438B1 (en) * 2014-05-08 2017-09-12 Hrl Laboratories, Llc Method for manufacturing a semiconductor structure having a passivated III-nitride layer
CN106575670B (zh) 2014-09-18 2020-10-16 英特尔公司 用于硅cmos相容半导体器件中的缺陷扩展控制的具有倾斜侧壁刻面的纤锌矿异质外延结构
EP3198649A4 (en) 2014-09-25 2018-05-16 Intel Corporation Iii-n epitaxial device structures on free standing silicon mesas
WO2016068935A1 (en) 2014-10-30 2016-05-06 Intel Corporation Source/drain regrowth for low contact resistance to 2d electron gas in gallium nitride transistors
US10573647B2 (en) 2014-11-18 2020-02-25 Intel Corporation CMOS circuits using n-channel and p-channel gallium nitride transistors
US9536967B2 (en) * 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
KR102309482B1 (ko) * 2014-12-18 2021-10-07 인텔 코포레이션 N-채널 갈륨 질화물 트랜지스터들
US10283631B2 (en) * 2015-05-12 2019-05-07 Delta Electronics, Inc. Semiconductor device and method of fabricating the same
EP3298628A4 (en) 2015-05-19 2019-05-22 INTEL Corporation SEMICONDUCTOR DEVICES WITH SURFACE-DOPED CRYSTALLINE STRUCTURES
US9548731B2 (en) 2015-06-16 2017-01-17 Tagore Technology, Inc. High performance radio frequency switch
KR102349040B1 (ko) 2015-06-26 2022-01-10 인텔 코포레이션 고온 안정 기판 계면 재료를 갖는 헤테로 에피택셜 구조체들
WO2017077989A1 (ja) * 2015-11-02 2017-05-11 日本碍子株式会社 半導体素子用エピタキシャル基板、半導体素子、および、半導体素子用エピタキシャル基板の製造方法
WO2017099707A1 (en) * 2015-12-07 2017-06-15 Intel Corporation Self-aligned transistor structures enabling ultra-short channel lengths
WO2017111869A1 (en) 2015-12-24 2017-06-29 Intel Corporation Transition metal dichalcogenides (tmdcs) over iii-nitride heteroepitaxial layers
US10170611B1 (en) * 2016-06-24 2019-01-01 Hrl Laboratories, Llc T-gate field effect transistor with non-linear channel layer and/or gate foot face
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
TWI673868B (zh) * 2018-05-29 2019-10-01 世界先進積體電路股份有限公司 半導體裝置及其製造方法
CN110581163B (zh) * 2018-06-08 2023-07-18 世界先进积体电路股份有限公司 半导体装置及其制造方法
US11489061B2 (en) * 2018-09-24 2022-11-01 Intel Corporation Integrated programmable gate radio frequency (RF) switch
US10707322B2 (en) 2018-10-22 2020-07-07 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
JP7439536B2 (ja) * 2020-01-28 2024-02-28 富士通株式会社 半導体装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915164A (en) 1995-12-28 1999-06-22 U.S. Philips Corporation Methods of making high voltage GaN-A1N based semiconductor devices
US7470941B2 (en) * 2001-12-06 2008-12-30 Hrl Laboratories, Llc High power-low noise microwave GaN heterojunction field effect transistor
JP4865189B2 (ja) * 2002-02-21 2012-02-01 古河電気工業株式会社 GaN系電界効果トランジスタ
US6982204B2 (en) 2002-07-16 2006-01-03 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US6884704B2 (en) 2002-08-05 2005-04-26 Hrl Laboratories, Llc Ohmic metal contact and channel protection in GaN devices using an encapsulation layer
US7501669B2 (en) 2003-09-09 2009-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
US7612390B2 (en) 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
US7432142B2 (en) 2004-05-20 2008-10-07 Cree, Inc. Methods of fabricating nitride-based transistors having regrown ohmic contact regions
US7238560B2 (en) * 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
WO2008027027A2 (en) 2005-09-07 2008-03-06 Cree, Inc Transistor with fluorine treatment
US7419892B2 (en) 2005-12-13 2008-09-02 Cree, Inc. Semiconductor devices including implanted regions and protective layers and methods of forming the same
JP2008211172A (ja) * 2007-01-31 2008-09-11 Matsushita Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
JP5348364B2 (ja) * 2007-08-27 2013-11-20 サンケン電気株式会社 ヘテロ接合型電界効果半導体装置
US8680580B2 (en) 2007-11-19 2014-03-25 Renesas Electronics Corporation Field effect transistor and process for manufacturing same
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
CN101604704B (zh) 2008-06-13 2012-09-05 西安能讯微电子有限公司 Hemt器件及其制造方法
US7985986B2 (en) * 2008-07-31 2011-07-26 Cree, Inc. Normally-off semiconductor devices
US20100117118A1 (en) 2008-08-07 2010-05-13 Dabiran Amir M High electron mobility heterojunction device
US7898004B2 (en) * 2008-12-10 2011-03-01 Transphorm Inc. Semiconductor heterostructure diodes
US8754496B2 (en) 2009-04-14 2014-06-17 Triquint Semiconductor, Inc. Field effect transistor having a plurality of field plates
JP5308290B2 (ja) * 2009-09-15 2013-10-09 日本碍子株式会社 半導体素子用エピタキシャル基板、ショットキー接合構造、およびショットキー接合構造の漏れ電流抑制方法
JP5589329B2 (ja) * 2009-09-24 2014-09-17 豊田合成株式会社 Iii族窒化物半導体からなる半導体装置、電力変換装置
WO2011039800A1 (ja) * 2009-09-29 2011-04-07 株式会社 東芝 半導体装置
JP2011082216A (ja) * 2009-10-02 2011-04-21 Fujitsu Ltd 化合物半導体装置及びその製造方法
US8633470B2 (en) 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8368052B2 (en) * 2009-12-23 2013-02-05 Intel Corporation Techniques for forming contacts to quantum well transistors
US20110241020A1 (en) 2010-03-31 2011-10-06 Triquint Semiconductor, Inc. High electron mobility transistor with recessed barrier layer
US8853709B2 (en) * 2011-07-29 2014-10-07 Hrl Laboratories, Llc III-nitride metal insulator semiconductor field effect transistor
WO2013095643A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Iii-n material structure for gate-recessed transistors
US20140061658A1 (en) * 2012-09-04 2014-03-06 Richtek Technology Corporation High Electron Mobility Transistor and Manufacturing Method Thereof

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261720A1 (en) * 2011-04-15 2012-10-18 Stmicroelectronics S.R.I. Method for manufacturing a hemt transistor and corresponding hemt transistor
US9024357B2 (en) * 2011-04-15 2015-05-05 Stmicroelectronics S.R.L. Method for manufacturing a HEMT transistor and corresponding HEMT transistor
US20140138743A1 (en) * 2011-08-01 2014-05-22 Murata Manufacturing Co., Ltd. Field effect transistor
US9099341B2 (en) * 2011-08-01 2015-08-04 Murata Manufacturing Co., Ltd. Field effect transistor
US20130082305A1 (en) * 2011-09-30 2013-04-04 Cheng-Guan Yuan Structure of a high electron mobility transistor and a fabrication method thereof
US8653562B2 (en) * 2011-09-30 2014-02-18 Win Semiconductor Corp. Structure of a high electron mobility transistor
US9425300B2 (en) * 2012-02-23 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20130221364A1 (en) * 2012-02-23 2013-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US8860088B2 (en) * 2012-02-23 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20140361310A1 (en) * 2012-02-23 2014-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US10115813B2 (en) 2012-02-23 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20130256683A1 (en) * 2012-03-28 2013-10-03 Fujitsu Limited Compound semiconductor and method of manufacturing the same
KR102087941B1 (ko) * 2013-08-07 2020-03-11 엘지이노텍 주식회사 전력 반도체 소자
KR20150017546A (ko) * 2013-08-07 2015-02-17 엘지이노텍 주식회사 전력 반도체 소자
US20150155358A1 (en) * 2013-12-02 2015-06-04 International Rectifier Corporation Group III-V Transistor with Semiconductor Field Plate
US9673286B2 (en) * 2013-12-02 2017-06-06 Infineon Technologies Americas Corp. Group III-V transistor with semiconductor field plate
CN110854185A (zh) * 2014-05-30 2020-02-28 台达电子工业股份有限公司 半导体装置
CN105633143A (zh) * 2014-10-31 2016-06-01 财团法人工业技术研究院 增强型氮化镓晶体管器件
US9679762B2 (en) * 2015-03-17 2017-06-13 Toshiba Corporation Access conductivity enhanced high electron mobility transistor
US20170077283A1 (en) * 2015-09-16 2017-03-16 Sumitomo Electric Industries, Ltd. High electron mobility transistor and method of forming the same using atomic layer deposition technique
US9685548B2 (en) * 2015-09-16 2017-06-20 Sumitomo Electric Industries, Ltd. High electron mobility transistor and method of forming the same using atomic layer deposition technique
US10418239B2 (en) 2015-11-02 2019-09-17 Ngk Insulators, Ltd. Epitaxial substrate for semiconductor elements, semiconductor element, and manufacturing method for epitaxial substrates for semiconductor elements
CN108140563A (zh) * 2015-11-02 2018-06-08 日本碍子株式会社 半导体元件用外延基板、半导体元件和半导体元件用外延基板的制造方法
TWI710657B (zh) * 2015-11-02 2020-11-21 日商日本碍子股份有限公司 半導體元件用磊晶基板、半導體元件以及半導體元件用磊晶基板之製造方法
CN108352306A (zh) * 2015-11-02 2018-07-31 日本碍子株式会社 半导体元件用外延基板、半导体元件和半导体元件用外延基板的制造方法
US10770552B2 (en) * 2015-11-02 2020-09-08 Ngk Insulators, Ltd. Epitaxial substrate for semiconductor elements, semiconductor element, and manufacturing method for epitaxial substrates for semiconductor elements
US10580646B2 (en) * 2015-11-02 2020-03-03 Ngk Insulators, Ltd. Epitaxial substrate for semiconductor elements, semiconductor element, and manufacturing method for epitaxial substrates for semiconductor elements
US10410859B2 (en) 2015-11-02 2019-09-10 Ngk Insulators, Ltd. Epitaxial substrate for semiconductor elements, semiconductor element, and manufacturing method for epitaxial substrates for semiconductor elements
US20180323296A1 (en) * 2015-11-12 2018-11-08 Stmicroelectronics S.R.L. Method for manufacturing a hemt transistor and hemt transistor with improved electron mobility
US9882040B2 (en) * 2015-11-12 2018-01-30 Stmicroelectronics S.R.L. Method for manufacturing a HEMT transistor and HEMT transistor with improved electron mobility
US10032898B2 (en) 2015-11-12 2018-07-24 Stmicroelectronics S.R.L. Method for manufacturing a HEMT transistor and HEMT transistor with improved electron mobility
US20170141218A1 (en) * 2015-11-12 2017-05-18 Stmicroelectronics S.R.L. Method for manufacturing a hemt transistor and hemt transistor with improved electron mobility
US10396192B2 (en) 2015-11-12 2019-08-27 Stmicroelectronics S.R.L. HEMT transistors with improved electron mobility
WO2018091699A1 (de) 2016-11-21 2018-05-24 Forschungsverbund Berlin E.V. Gate-struktur und verfahren zu dessen herstellung
US11127863B2 (en) 2016-11-21 2021-09-21 Forschungsverbund Berlin E.V. Gate structure and method for producing same
DE102016122399A1 (de) 2016-11-21 2018-05-24 Forschungsverbund Berlin E.V. Gate-Struktur und Verfahren zu dessen Herstellung
US11018278B2 (en) * 2017-03-02 2021-05-25 Osram Oled Gmbh Semiconductor body
US20200006594A1 (en) * 2017-03-02 2020-01-02 Osram Opto Semiconductors Gmbh Semiconductor Body
US11158702B2 (en) * 2017-03-03 2021-10-26 Shanghai Simgui Technology Co., Ltd. Gallium nitride high electron mobility transistor having high breakdown voltage and formation method therefor
CN110462789A (zh) * 2017-03-24 2019-11-15 高通股份有限公司 化合物半导体场效应晶体管栅极长度缩减
US20180277671A1 (en) * 2017-03-24 2018-09-27 Qualcomm Incorporated Compound semiconductor field effect transistor gate length scaling
US10084074B1 (en) * 2017-03-24 2018-09-25 Qualcomm Incorporated Compound semiconductor field effect transistor gate length scaling
US10164054B2 (en) * 2017-03-24 2018-12-25 Qualcomm Incorporated Compound semiconductor field effect transistor with self-aligned gate
US20180286973A1 (en) * 2017-03-30 2018-10-04 Kabushiki Kaisha Toshiba High frequency device
DE102017127182A1 (de) 2017-11-17 2019-05-23 Forschungsverbund Berlin E.V. Gate-Struktur und Verfahren zu deren Herstellung
WO2019096528A1 (de) 2017-11-17 2019-05-23 Forschungsverbund Berlin E.V. Gate-struktur und verfahren zu deren herstellung
US11889768B2 (en) 2017-11-17 2024-01-30 Ferdinand-Braun-Institut Ggmbh, Leibniz-Institut Fur Höchstfrequenztechnik Transistor and method of fabrication of the same including a gate contact formed in a recess through the passivation dielectric layer in contact with the active layer
CN109755301A (zh) * 2019-01-15 2019-05-14 中山大学 一种高质量栅界面的GaN MISFET器件及其制备方法
US11127847B2 (en) * 2019-05-16 2021-09-21 Vanguard International Semiconductor Corporation Semiconductor devices having a gate field plate including an extension portion and methods for fabricating the semiconductor device
US20210399119A1 (en) * 2020-06-23 2021-12-23 Intel Corporation Transition metal-iii-nitride alloys for robust high performance hemts

Also Published As

Publication number Publication date
JP2013118360A (ja) 2013-06-13
DE102012020978A1 (de) 2013-05-02
FR2982078A1 (fr) 2013-05-03
US9054167B2 (en) 2015-06-09
US20130334538A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
US9054167B2 (en) High electron mobility transistor structure and method
JP6554530B2 (ja) 再成長構造を用いたiii族窒化物トランジスタ
US20130099284A1 (en) Group iii-nitride metal-insulator-semiconductor heterostructure field-effect transistors
US20130320349A1 (en) In-situ barrier oxidation techniques and configurations
US20130313561A1 (en) Group iii-nitride transistor with charge-inducing layer
US7592211B2 (en) Methods of fabricating transistors including supported gate electrodes
JP5350585B2 (ja) ミリメートル波動作のための窒化物ベースのトランジスタ
JP5805608B2 (ja) 支持されたゲート電極を備えるトランジスタの作製方法およびそれに関連するデバイス
US7388236B2 (en) High efficiency and/or high power density wide bandgap transistors
US9112010B2 (en) Nitride-based semiconductor device
US8551821B2 (en) Enhancement normally off nitride semiconductor device manufacturing the same
TWI605588B (zh) 具有包括基於氟或氯化合物的閘電介質之基於第三族氮化物的電晶體
JP6510815B2 (ja) ドープされた窒化ガリウム高電子移動度トランジスタ
JP2015050464A (ja) リニア高電子移動度トランジスタ
US20220416065A1 (en) Semiconductor device, electric circuit, and wireless communication apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TRIQUINT SEMICONDUCTOR, INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SAUNIER, PAUL;REEL/FRAME:027128/0743

Effective date: 20111026

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION