TWI784884B - 製造電子裝置及電腦裝置之方法 - Google Patents

製造電子裝置及電腦裝置之方法 Download PDF

Info

Publication number
TWI784884B
TWI784884B TW111106248A TW111106248A TWI784884B TW I784884 B TWI784884 B TW I784884B TW 111106248 A TW111106248 A TW 111106248A TW 111106248 A TW111106248 A TW 111106248A TW I784884 B TWI784884 B TW I784884B
Authority
TW
Taiwan
Prior art keywords
layer
gate
view
source
substrate
Prior art date
Application number
TW111106248A
Other languages
English (en)
Other versions
TW202224103A (zh
Inventor
派翠克 摩洛
里沙 梅安卓
艾倫 理萊克
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202224103A publication Critical patent/TW202224103A/zh
Application granted granted Critical
Publication of TWI784884B publication Critical patent/TWI784884B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Abstract

第一互連層黏合至第一基板。第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上。第二裝置層係暴露自第二基板側。第一絕緣層係沉積於暴露之第二裝置層上。第一開口係形成於第一絕緣層中,以暴露第二裝置層之第一部。接觸區係形成於第二裝置層之暴露之第一部上。

Description

製造電子裝置及電腦裝置之方法
文中描述之實施例關於微電子裝置製造之領域,尤其關於堆疊裝置製造。
減少半導體裝置尺寸及增加其整合程度為目前裝置製造中二個主要趨勢。關於該些趨勢之結果,形成半導體裝置之元件密度增加。裝置縮減至超微米尺寸要求裝置元件超微米程度之常規製造,此因小尺寸之實體挑戰而變得更困難。
通常,形成半導體裝置之半導體結構可相互堆疊於頂部,以增加裝置整合程度,及減少裝置覆蓋區。典型地,堆疊裝置使用通孔而垂直互連,其係互連結構之一部分。互連結構包括一或更多程度金屬線,以相互連接電子裝置,及外部連接。
傳統上,堆疊電晶體結構之電晶體係獨立地 製造。習知技術之一包含獨立地於二個別晶圓上建造電晶體,接著黏合晶圓而相互堆疊於裝置頂部。另一習知技術包含於單一半導體晶圓上各層中連續建造電晶體。二習知技術要求每一電晶體之個別微影組及其他處理作業,耗費時間並極昂貴。
100、1500:電子裝置
101:基板
102:鰭部
103、105:中間層
104、106:裝置層
107、111、411、611、1113、1212、2211、2611:絕緣層
108:置換閘極
109:間隔
110、210:側視圖
120、130、220、230、710:截面視圖
200、310、320、330、410、420、430、510、520、530、610、620、630、720、730、810、820、830、910、920、930、1010、1020、1030、1110、1120、1130、1210、1220、1230、1310、1320、1330、1410、1420、1430、1610、1620、1630、1710、1720、1730、1810、1820、1830、1910、1920、1930、2010、2020、2030、2110、2120、2130、2210、2220、2230、2310、2320、2330、2410、2420、2430、2510、2520、2530、2610、2620、2630、2710、2720、2730、2810、2820、2830:視圖
201:基部
211:硬遮罩
311、312、313、314、722、723、1114、1115、1916、1917、2511:部
412、1211:摻雜層
511、512、822、823、1311、1312:源極/汲極區
711、712:閘極氧化物層
713、714、721、2711:金屬閘極
724、725、726、727:相對側壁
811、812、813、815、816、1411、1412、1413、1501、1502、1811、1812、1911、1912、2311、2312、2811:傳導部件
821、1414:互連層
911:載體基板
1011、1111、1112、2111、2212、2213:背面開口
1012:閘極部
1213、1214:源極/汲極
1612:間隔層
1614、1711、2411:開口
1913、1914、1915:側壁
2612:間隙
2900:中介層
2902:第一基板
2904:第二基板
2906:球柵陣列(BGA)
2908:金屬互連
2910:通孔
2912:矽通孔(TSV)
2914:嵌入裝置
3000:運算裝置
3002:積體電路晶粒
3004:處理器
3006:晶粒上記憶體
3008:通訊晶片
3010:揮發性記憶體
3012:非揮發性記憶體
3014:圖形處理單元
3016:數位信號處理器
3020:晶片組
3022:天線
3024:觸控螢幕顯示裝置
3026:觸控螢幕顯示裝置控制器
3028:電池
3032:感應器
3034:揚聲器
3036:相機
3038:使用者輸入裝置
3040:大量儲存裝置
3042:加密處理器
3044:全球定位系統(GPS)裝置
藉由參照下列描述及附圖,其用以描繪本發明之實施例,可最佳理解本發明之實施例。在圖中:圖1依據一實施例,顯示一部分電子裝置之三維視圖。
圖1A依據一實施例,顯示圖1中所示之電子裝置部分沿YZ平面之側視圖。
圖1B依據一實施例,顯示圖1中所示之電子裝置部分沿A-A'軸之截面視圖。
圖1C依據一實施例,顯示圖1中所示之電子裝置部分沿B-B'軸之截面視圖。
圖2依據一實施例,類似於圖1,為置換閘極及間隔形成於鰭部上後之視圖。
圖2A依據一實施例,為圖2中所示之電子裝置部分沿YZ平面之側視圖。
圖2B依據一實施例,為圖2中所示之電子裝置部分沿A-A'軸之截面視圖。
圖2C依據一實施例,為圖2中所示之電子裝置部分 沿B-B'軸之截面視圖。
圖3A依據一實施例,類似於圖2A,為移除部分中間層後之視圖。
圖3B依據一實施例,類似於圖2B,為移除部分中間層後之視圖。
圖3C依據一實施例,類似於圖2C,為移除部分中間層後之視圖。
圖4A依據一實施例,類似於圖3A,為沉積絕緣層及摻雜層後之視圖。
圖4B依據一實施例,類似於圖3B,為沉積絕緣層及摻雜層後之視圖。
圖4C依據一實施例,類似於圖3C,為沉積絕緣層及摻雜層後之視圖。
圖5A依據另一實施例,類似於圖3A,為沉積絕緣層及添加摻雜劑至裝置層之暴露之部分以形成源極/汲極區後之視圖。
圖5B依據另一實施例,類似於圖3B,為沉積絕緣層及添加摻雜劑至裝置層之暴露之部分以形成源極/汲極區後之視圖。
圖5C依據另一實施例,類似於圖3C,為沉積絕緣層及添加摻雜劑至裝置層之暴露之部分以形成源極/汲極區後之視圖。
圖6A依據一實施例,類似於圖4A,為絕緣層沉積於摻雜層上後之視圖。
圖6B依據一實施例,類似於圖4B,為絕緣層沉積於摻雜層上後之視圖。
圖6C依據一實施例,類似於圖4C,為絕緣層沉積於摻雜層上後之視圖。
圖7A依據一實施例,為移除置換閘極及沉積金屬閘極後,圖6A中所示之電子裝置部分之截面視圖。
圖7B依據一實施例,類似於圖6B,為移除置換閘極及沉積金屬閘極後之視圖。
圖7C依據一實施例,類似於圖6C,為移除置換閘極及沉積金屬閘極後之視圖。
圖8A依據一實施例,類似於圖7A,為於裝置層上沉積互連層後之視圖。
圖8B依據一實施例,類似於圖7B,為於裝置層上沉積互連層後之視圖。
圖8C依據一實施例,類似於圖7C,為於裝置層上沉積互連層後之視圖。
圖9A依據一實施例,類似於圖8A,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖9B依據一實施例,類似於圖8B,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖9C依據一實施例,類似於圖8C,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖10A依據一實施例,類似於圖9A,為部分鰭部及基板移除後之視圖。
圖10B依據一實施例,類似於圖9B,為部分鰭部及基板移除後之視圖。
圖10C依據一實施例,類似於圖9C,為部分鰭部及基板移除後之視圖。
圖11A依據一實施例,類似於圖10A,為填充背面開口之絕緣層沉積至閘極部上後之視圖。
圖11B依據一實施例,類似於圖10B,為填充背面開口之絕緣層沉積至閘極部上後之視圖。
圖11C依據一實施例,類似於圖10C,為填充背面開口之絕緣層沉積至閘極部上後之視圖。
圖12A依據一實施例,類似於圖11A,為摻雜層沉積穿過背面開口後之視圖。
圖12B依據一實施例,類似於圖11B,為摻雜層沉積穿過背面開口後之視圖。
圖12C依據一實施例,類似於圖11C,為摻雜層沉積穿過背面開口後之視圖。
圖13A依據另一實施例,類似於圖11A,為添加摻雜劑穿過背面開口以形成源極/汲極區後之視圖。
圖13B依據另一實施例,類似於圖11B,為添加摻雜劑穿過背面開口以形成源極/汲極區後之視圖。
圖13C依據另一實施例,類似於圖11C,為添加摻雜劑穿過背面開口以形成源極/汲極區後之視圖。
圖14A依據一實施例,類似於圖12A,為於裝置層上沉積互連層後之視圖。
圖14B依據一實施例,類似於圖12B,為於裝置層上沉積互連層後之視圖。
圖14C依據一實施例,類似於圖12C,為於裝置層上沉積互連層後之視圖。
圖15依據一實施例,為一部分電子裝置之三維視圖。
圖16A依據一實施例,類似於圖12A,為於開口之側壁上沉積間隔層後之視圖。
圖16B依據一實施例,類似於圖12B,為於開口之側壁上沉積間隔層後之視圖。
圖16C依據一實施例,類似於圖12C,為於開口之側壁上沉積間隔層後之視圖。
圖17A依據一實施例,類似於圖16A,為形成開口穿過裝置層後之視圖。
圖17B依據一實施例,類似於圖16B,為形成開口穿過裝置層後之視圖。
圖17C依據一實施例,類似於圖16C,為形成開口穿過裝置層後之視圖。
圖18A依據一實施例,類似於圖17A,為移除間隔層,且傳導部件沉積進入絕緣層中之開口後之視圖。
圖18B依據一實施例,類似於圖17B,為移除間隔層,且傳導部件沉積進入絕緣層中之開口後之視圖。
圖18C依據一實施例,類似於圖17C,為移除間隔層,且傳導部件沉積進入絕緣層中之開口後之視圖。
圖19A依據另一實施例,類似於圖16A,為沉積傳導部件後之視圖。
圖19B依據另一實施例,類似於圖16B,為沉積傳導部件後之視圖。
圖19C依據另一實施例,類似於圖16C,為沉積傳導部件後之視圖。
圖20A依據另一實施例,類似於圖6A,為於裝置層上沉積互連層後之視圖。
圖20B依據另一實施例,類似於圖6B,為於裝置層上沉積互連層後之視圖。
圖20C依據另一實施例,類似於圖6C,為於裝置層上沉積互連層後之視圖。
圖21A依據另一實施例,類似於圖20A,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖21B依據另一實施例,類似於圖20B,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖21C依據另一實施例,類似於圖20C,為部分電子裝置翻轉及黏合至載體基板後之視圖。
圖22A依據另一實施例,類似於圖21A,為絕緣層沉積至暴露之閘極部上後之視圖。
圖22B依據另一實施例,類似於圖21B,為絕緣層沉積至暴露之閘極部上後之視圖。
圖22C依據另一實施例,類似於圖21C,為絕緣層沉積至暴露之閘極部上後之視圖。
圖23A依據另一實施例,類似於圖22A,為傳導部件沉積至源極/汲極區上後之視圖。
圖23B依據另一實施例,類似於圖22B,為傳導部件沉積至源極/汲極區上後之視圖。
圖23C依據另一實施例,類似於圖22C,為傳導部件沉積至源極/汲極區上後之視圖。
圖24A依據另一實施例,類似於圖23A,為於絕緣層中形成開口以暴露一部分閘極後之視圖。
圖24B依據另一實施例,類似於圖23B,為於絕緣層中形成開口以暴露一部分閘極後之視圖。
圖24C依據另一實施例,類似於圖23C,為於絕緣層中形成開口以暴露一部分閘極後之視圖。
圖25A依據另一實施例,類似於圖24A,為背面開口形成後之視圖。
圖25B依據另一實施例,類似於圖24B,為背面開口形成後之視圖。
圖25C依據另一實施例,類似於圖24C,為背面開口形成後之視圖。
圖26A依據另一實施例,類似於圖25A,為絕緣層沉積後之視圖。
圖26B依據另一實施例,類似於圖25B,為絕緣層沉積後之視圖。
圖26C依據另一實施例,類似於圖25C,為絕緣層沉積後之視圖。
圖27A依據另一實施例,類似於圖26A,為金屬閘極沉積後之視圖。
圖27B依據另一實施例,類似於圖26B,為金屬閘極沉積後之視圖。
圖27C依據另一實施例,類似於圖26C,為金屬閘極沉積後之視圖。
圖28A依據另一實施例,類似於圖27A,為傳導部件沉積後之視圖。
圖28B依據另一實施例,類似於圖27B,為傳導部件沉積後之視圖。
圖28C依據另一實施例,類似於圖27C,為傳導部件沉積後之視圖。
圖29描繪中介層,其包括本發明之一或更多實施例。
圖30依據本發明之一實施例,描繪運算裝置。
【發明內容及實施方式】
描述提供堆疊裝置之方法及設備。互連層係沉積於背面基板上之第二裝置層上之第一裝置層上。互連層黏合至載體基板。第二裝置層係從第二基板側暴露。絕緣層係沉積於暴露之第二裝置層上。開口係形成於絕緣層中,以暴露一部分第二裝置層。源極/汲極區係形成於第二裝置層之暴露部分上。在一實施例中,第二裝置層上之第一裝置層為形成於背面基板上之鰭部的一部分。在一實 施例中,中間層係沉積於第一裝置層及第二裝置層之間。
在一實施例中,包含下裝置層上之上裝置層的堆疊裝置結構係使用背面暴露程序,從背面部分形成下裝置接觸層而予製造。背面暴露致能從結構背面形成閘極及源極/汲極區。在一實施例中,形成裝置之接觸區包含從結構背面於裝置層之接觸區上磊晶生長摻雜半導體層。在另一實施例中,從背面形成裝置之接觸區包含使用植入技術從結構背面添加摻雜劑至接觸區。堆疊結構之背面加工具有超越習知前側技術之優點。堆疊電晶體結構之下裝置的閘極及源極/汲極區是不可能的,或至少極難以習知前側技術加工。相較於習知技術,下裝置層之接觸區從背面加工有利地簡化製造程序,及減少成本。
在一實施例中,製造堆疊裝置結構包含共用鰭部及堆疊裝置之閘極圖案化作業。相較於習知技術,共用鰭部及堆疊裝置之閘極圖案化作業有利地減少製造成本。
在下列描述中,將使用熟悉本技藝之人士共同採用之用詞,描述描繪實施之各方面,而向熟悉本技藝之其他人士傳達其工作內容。然而,對熟悉本技藝之人士顯然可僅以若干描述方面實現本發明。為予說明,提出特定數量、材料及組態,以便提供描繪實施之徹底了解。然而,對熟悉本技藝之人士顯然可無特定細節而實現本發明。在其他狀況下,省略或簡化熟知部件以便不混淆描繪實施。
將依序以最有助於理解本發明之方式描述各式作業為多個個別作業;然而,描述之順序不應解譯為暗示該些作業為順序相關。尤其,該些作業不需以呈現之順序實施。
雖然描述某些示例實施例並顯示於附圖中,應理解的是該等實施例僅為描繪而非限制,且實施例不侷限於顯示及描述之特定構造及配置,因為對於本技藝中一般技術之人士而言可發生修改。
說明書通篇提及「一實施例」、「另一實施例」或「實施例」表示結合實施例描述之特定部件、結構、或特性係包括於至少一實施例中。因而,置於說明書通篇之諸如「一實施例」及「實施例」用語的出現,不一定均指相同實施例。此外,特定部件、結構、或特性可以任何合適方式組合於一或更多實施例中。
再者,創新方面在於少於單一揭露實施例之所有部件。因而,在「實施方式」後之申請項藉以明確併入「實施方式」,且每一申請項基於其本身做為個別實施例。雖然文中已描述示例實施例,熟悉本技藝之人士將認同基於文中描述之修改及替代,可實現該些示例實施例。因而,描述被視為描繪而非限制。
圖1依據一實施例,顯示一部分電子裝置100之三維視圖。圖1A依據一實施例,顯示圖1中所示之電子裝置100部分沿YZ平面之側視圖110。圖1B顯示電子裝置100部分沿A-A'軸之截面視圖120。圖1C顯示電子 裝置100部分沿B-B'軸之截面視圖130。如圖1、1A、1B、及1C中所示,電子裝置100包含基板101上之鰭部102。在一實施例中,基板101為背面基板。
在實施例中,基板101包含半導體材料,例如矽(Si)。在一實施例中,基板101為單晶Si基板。在另一實施例中,基板為多晶Si基板。在又另一實施例中,基板101為非結晶Si基板。在替代實施例中,基板101包括矽、鍺(「Ge」)、矽鍺(「SiGe」)、基於III-V材料之材料,例如砷化鎵(「GaAs」),或其任何組合。在一實施例中,基板101包括用於積體電路之金屬化互連層。在至少若干實施例中,基板101包括電子裝置,例如電晶體、記憶體、電容器、電阻器、光電子裝置、開關、及由電絕緣層分離之任何其他主動及被動電子裝置,例如層間介電、凹槽絕緣層、或微電子裝置製造技藝中一般技術之人士已知之任何其他絕緣層。在至少若干實施例中,基板101包括互連,例如通孔,經組配以連接金屬化層。
在實施例中,基板101為絕緣體上半導體(SOI)基板,包括塊狀下基板、中間絕緣層、及頂部單晶層。頂部單晶層可包含以上所列任何材料,例如矽。
在各式實施中,基板101可為例如有機、陶瓷、玻璃、或半導體基板。在一實施中,基板101可為使用塊狀矽或絕緣體上矽子結構形成之結晶基板。在其他實施中,半導體基板可使用替代材料形成,可或不可與矽組 合,包括但不侷限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料之其他組合。儘管此處描述可形成基板之材料的少數範例,可做為基礎且其上可建立被動及主動電子裝置(例如電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子裝置、或任何其他電子裝置)之任何材料,落於本發明之精神及範圍內。
如圖1、1A、1B、及1C中所示,鰭部102包含基部201上之中間層103上之裝置層104上之中間層105上之裝置層106之堆疊。在替代實施例中,鰭部102包含二個以上裝置層於相互頂部之堆疊,其係由中間層分開。在一實施例中,絕緣層(例如氧化物)(未顯示)係沉積於中間層103及基部201之間。在一實施例中,基部201為基板101之一部分。在一實施例中,基部201包含與基板101相同材料。在一實施例中,基部201為矽。在另一實施例中,基部201包含與基板101不同材料。如圖1、1A、1B、及1C中所示,鰭部102包含頂部及相對側壁。鰭部102具有沿X軸之寬度、沿Y軸之長度及沿Z軸之高度。在一實施例中,鰭部102之寬度定義電晶體或之後於程序中形成之其他電子裝置的寬度。在一實施例中,鰭部102之寬度為約1奈米(nm)至約20nm。在更特定實施例中,鰭部102之寬度為約4nm至約15nm。在一實施例中,鰭部102之高度為寬度之至少兩倍,並由設計判定。在一實施例中,鰭部102之長度大於寬度,並 由設計判定。在一實施例中,鰭部102之長度為約10nm至數百微米。
在一實施例中,每一裝置層104及106是一個層,其上之後於程序中形成有電晶體或其他電子裝置。在一實施例中,鰭部102包含至少二電晶體或其他裝置之堆疊,係使用單一微影作業定義。在一實施例中,每一裝置層104及106之材料與每一中間層103及105之材料不同。裝置層104及106可由任何半導體材料形成,諸如但不侷限於矽(Si)、鍺(Ge)、矽鍺(SixGey)、III-V材料例如砷化鎵(GaAs)、InSb、GaP、GaSb、碳奈米管、製造電子裝置之其他材料、或其任何組合。在一實施例中,每一中間層103及105為犧牲層,之後於程序中移除。在一實施例中,每一中間層103及105為矽鍺(SiGe)層。在一實施例中,每一中間層103及105為絕緣層,例如低k層間介電(ILD)層。在替代實施例中,每一中間層103及105為氧化物層,例如氧化矽層、氧化鋁、摻碳氧化物(例如摻碳氧化矽)、碳層、或其任何組合。在另一實施例中,每一中間層103及105為聚合物層,或其他犧牲層。在更特定實施例中,每一裝置層104及106為矽層,且每一中間層103及105為矽鍺層。在一實施例中,每一裝置層104及106之厚度為約5nm至約100nm。在一實施例中,每一中間層103及105之厚度為約1nm至約20nm。
在一實施例中,每一裝置層106及104係使 用一或更多沉積技術沉積,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。在一實施例中,每一中間層105及103係使用一或更多沉積技術沉積,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。
在一實施例中,鰭部102係使用微電子裝置製造技藝中一般技術之人士已知之一或更多圖案化及蝕刻技術製造。
如圖1、1A、1B、及1C中所示,絕緣層107係沉積於基板101。在一實施例中,絕緣層107為層間介電(ILD)層。在一實施例中,絕緣層107為氧化物層,例如氧化矽層。在一實施例中,絕緣層107為低k介電,例如二氧化矽、氧化矽、摻碳氧化物(「CDO」)、或其任何組合。在一實施例中,絕緣層107包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(「SiOF」)玻璃、有機矽酸鹽玻璃(「SiOCH」)、或其任何組合。在 另一實施例中,絕緣層107為氮化物層,例如氮化矽層。在替代實施例中,絕緣層107為氧化鋁、氮氧化矽、其他氧化物/氮化物層、其任何組合、或由電子裝置設計判定之其他電絕緣層。
在一實施例中,絕緣層107之厚度判定之後於程序中形成之閘極高度。在一實施例中,絕緣層107沉積至一厚度,其類似於部201之高度。在一實施例中,絕緣層107之厚度係由設計判定。在一實施例中,絕緣層107沉積至約10奈米(nm)至約2微米(μm)之厚度。在實施例中,絕緣層107係使用一沉積技術而沉積於鰭部102及基板101之暴露部分上,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉式(spin-on)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。在實施例中,絕緣層使用微電子裝置製造技藝中一般技術之人士已知之一蝕刻技術,而凹入至預定厚度,以暴露中間層103上之裝置層104上之中間層105上之裝置層106。
圖2依據一實施例,類似於圖1,為置換(犧牲)閘極108及間隔109形成於鰭部102上後之視圖200。圖2A依據一實施例,為圖2中所示之電子裝置部分沿YZ平面之側視圖210。圖2B為圖2中所示之電子裝置部分沿A-A'軸之截面視圖220。圖2C為電子裝置100部 分沿B-B'軸之截面視圖230。如圖2、2A、2B、及2C中所示,絕緣層111沉積於鰭部102上。軸C-C'沿鰭部102之長度延伸通過閘極。絕緣層111沉積於頂部及部分鰭部102之相對側壁上,閘極之後於程序中形成於其上。在一實施例中,絕緣層111為氧化物層,例如氧化矽層、氧化鋁、摻碳氧化物(例如摻碳氧化矽)、碳層、或其任何組合。在一實施例中,絕緣層111之厚度為約2埃(Å)至約20Å。
在替代實施例中,絕緣層111係使用一沉積技術沉積,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋塗、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。絕緣層111係使用微電子裝置製造技藝中一般技術之人士已知之一或更多圖案化及蝕刻技術圖案化及蝕刻。
置換閘極108係形成於氧化物層111上。在一實施例中,至少二電晶體或其他裝置堆疊之置換閘極108係使用單一微影作業定義。在一實施例中,置換閘極108為多晶矽閘極,或任何其他置換閘極。在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一或更多圖案化及蝕刻技術,圖案化及蝕刻閘極層(例如多晶矽、或其他材料閘極層)上之硬遮罩211,而形成置換 閘極108。在替代實施例中,硬遮罩211為氧化物硬遮罩、氮化物硬遮罩、碳化矽硬遮罩、或微電子裝置製造技藝中一般技術之人士已知之任何其他硬遮罩。使用微電子裝置製造技藝中一般技術之人士已知之間隔沉積技術,於置換閘極108之相對側壁上形成間隔109。在一實施例中,間隔109為氮化物間隔(例如氮化矽)、氧化物間隔、碳化物間隔(例如碳化矽)、或微電子裝置製造技藝中一般技術之人士已知之其他間隔。在一實施例中,間隔109為超低k(k值小於2)材料間隔。
圖3A為視圖310,類似於圖2A,圖3B為視圖320,類似於圖2B,及圖3C為視圖330,類似於圖2C,依據一實施例,為部分中間層103及105移除後之視圖。如圖3A、3B、及3C中所示,置換閘極108及間隔109外部之部分中間層103及105經選擇性移除而暴露裝置層106之部311、312及裝置層104之部314及313。在一實施例中,置換閘極108及間隔109外部之部分中間層103及105係使用等向蝕刻技術移除。在一實施例中,SiGe之中間層103及105係選擇性濕式蝕刻達預定時間,在此狀況下,鰭部區中可存在若干量之基蝕,需加以控制。在一實施例中,SiGe之中間層103及105係以大於室溫之提高溫度選擇性濕式蝕刻。在一實施例中,裝置層106之部311、312為奈米線之獨立部。在一實施例中,裝置層104之部314及313為奈米線之獨立部。在一實施例中,裝置層104之部314及313代表奈米線。如圖 3C中所示,在置換閘極108及間隔109下之部分中間層103及105藉由蝕刻而保持實質上完整。
圖4A為視圖410,類似於圖3A,圖4B為視圖420,類似於圖3B,及圖4C為視圖430,類似於圖3C,依據一實施例,為絕緣層411及摻雜層412沉積後之視圖。絕緣層411係沉積於絕緣層107之暴露部分及基部201之暴露部分上。在一實施例中,絕緣層411為以上關於絕緣層107描述之一絕緣層。在替代實施例中,絕緣層411係使用一或更多沉積技術沉積,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。在一實施例中,絕緣層411凹入以暴露閘極108及間隔109外部裝置層106之部311及312,而形成接觸區。在一實施例中,部311及312為源極/汲極區,或裝置層106之其他接觸區。在一實施例中,摻雜層412磊晶生長於部311及312上。在一實施例中,摻雜層412中摻雜劑之濃度大於部311及312中。在一實施例中,摻雜層412為n型半導體層。在另一實施例中,摻雜層412為p型半導體層。在一實施例中,摻雜層412為矽層。在一實施例中,摻雜層412為包含p型摻雜劑之p型矽層,例如硼、鋁、氮、鎵、銦、或其任何組合。在一實施例中,摻雜層412為包含n型摻雜劑之 n型矽層,例如磷、砷、鉍、鋰、或其任何組合。在替代實施例中,摻雜層412為矽、鍺、矽鍺、基於III-V材料之層、或其任何組合。在一實施例中,摻雜層412之厚度為約10nm至約50nm。
在替代實施例中,摻雜層412使用一或更多沉積技術,而選擇性沉積於暴露之部311及312上,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。
在一實施例中,摻雜層412以大於室溫之提高溫度退火達預定時間,以驅動摻雜劑進入區311及312,而形成源極/汲極。在一實施例中,摻雜層412係以約800℃至約1200℃之溫度退火,達約0.25秒或更少。
在一實施例中,在退火摻雜層412後,使用一或更多摻雜層移除技術移除,諸如但不侷限於化學機械拋光(CMP)、蝕刻、或二者。
圖5A為視圖510,類似於圖3A,圖5B為視圖520,類似於圖3B,及圖5C為視圖530,類似於圖3C,依據另一實施例,為沉積絕緣層411及添加摻雜劑至裝置層106之暴露之部分311及312以形成源極511/汲 極區512後之視圖。在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一植入技術,將摻雜劑添加至暴露之部311及312。在一實施例中,添加至暴露之部311及312之摻雜劑為n型摻雜劑。在另一實施例中,添加至暴露之部311及312之摻雜劑為p型摻雜劑。
圖6A為視圖610,類似於圖4A,圖6B為視圖620,類似於圖4B,及圖6C為視圖630,類似於圖4C,依據一實施例,為絕緣層611沉積於摻雜層412上後之視圖。在一實施例中,絕緣層611為以上關於絕緣層107及411描述之一絕緣層。在一實施例中,絕緣層611係使用以上關於絕緣層107及411描述之一技術沉積。
圖7A依據一實施例,為移除置換閘極108及沉積金屬閘極721後,圖6A中所示之電子裝置部分之截面視圖710。視圖710為沿圖3中所示之C-C’軸穿過金屬閘極721之視圖。圖7B為視圖720,類似於圖6B,及圖7C為視圖730,類似於圖6C,依據一實施例,為移除置換閘極108及沉積金屬閘極721後之視圖。在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一硬遮罩及置換閘極移除技術,移除硬遮罩211及置換閘極108。如圖7C中所示,亦移除中間層103及105之剩餘部分。在一實施例中,使用以上描述之一蝕刻技術,移除中間層103及105之剩餘部分。
如圖7A及7C中所示,金屬閘極721包含金屬閘極713上之金屬閘極714。金屬閘極714係沉積於裝 置層106之部722上。金屬閘極713係沉積於裝置層104之部723上。如圖7C中所示,裝置層106之部722具有相對側壁724及相對側壁725。裝置層104之部723具有相對側壁726及相對側壁727。如圖7C中所示,金屬閘極714係沉積於所有側壁724及725上之閘極氧化物層711上。金屬閘極713係沉積於所有側壁726及727上之閘極氧化物層712上。在一實施例中,每一金屬閘極714及713具有功函數,相應於電晶體本體。在一實施例中,閘極714之金屬為p閘極功函數金屬,例如鈦、鋁、金、鉬、其他金屬、或具有p閘極功函數之其他金屬合金,且閘極713之金屬為n閘極功函數金屬,包括例如鈦、鉬、鉑、其他金屬、或具有n閘極功函數之其他金屬合金,反之亦然。在替代實施例中,金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳、金、傳導金屬氧化物、或其任何組合,用做n及p閘極金屬,及鎢用做閘極填充材料。在一實施例中,每一金屬閘極之實際功函數使用金屬、金屬合金、或二者之個別組合,而趨於p閘極功函數或n閘極功函數。在另一實施例中,閘極714及713之金屬相同。即,包含金屬閘極713上之金屬閘極714之至少二電晶體堆疊,係使用單一微影作業而依據單一鰭部102形成。
在一實施例中,每一氧化物層711及712為高k閘極氧化物層,例如氧化矽層、氧化鋁、摻碳氧化物(例如摻碳氧化矽)、或任何其他高k氧化物層。在一實 施例中,每一氧化物層711及712之厚度為約2埃(Å)至約20Å。在替代實施例中,每一氧化物層係使用微電子裝置製造技藝中一般技術之人士已知之一氧化物層沉積技術沉積。在一實施例中,金屬閘極713係沉積於絕緣層711及712上。金屬閘極713凹入而暴露絕緣層711。在一實施例中,金屬閘極713係使用蝕刻、拋光、或其組合之技術凹入,例如微電子裝置製造技藝中一般技術之人士已知之化學機械拋光(CMP)技術。金屬閘極714係沉積於凹入之金屬閘極714及暴露之絕緣層711上。在一實施例中,每一金屬閘極713及714係使用金屬閘極沉積技術其中一種而沉積,例如電鍍、非電解電鍍、或微電子裝置製造技藝中一般技術之人士已知之其他金屬閘極形成技術。
在一實施例中,閘極氧化物包括例如鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Au)、鉑(Pt)、銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦氮化物、鉭氮化物、鋯、錫、鉛、金屬合金、金屬碳化物,例如鉿碳化物、鋯碳化物、鈦碳化物、鉭碳化物、鋁碳化物,其他金屬、或其任何組合。
圖8A為視圖810,類似於圖7A,圖8B為視圖820,類似於圖7B,及圖8C為視圖830,類似於圖7C,依據一實施例,為於裝置層106上沉積互連層821後 之視圖。互連層821包含傳導部件811、812、813、815及816。傳導部件811連接至裝置層106之源極/汲極區822,及傳導部件816連接至裝置層106之源極/汲極區823。傳導部件812連接至金屬閘極714。在一實施例中,傳導部件811、812及816為傳導通孔、凹槽、或其他傳導部件,以將裝置層連接至互連層821之部件。傳導部件813連接至傳導部件811及傳導部件812。傳導部件815連接至傳導部件816。在一實施例中,傳導部件813及815為傳導線。在另一實施例中,傳導部件813及815為通孔、凹槽、或其他傳導部件。在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之圖案化及蝕刻技術,於絕緣層611中形成開口。一或更多傳導層,例如基底層上之傳導層,經沉積而填充絕緣層中之開口。一化學機械拋光(CMP)技術用以移除沿絕緣層611之頂部延伸之部分一或更多傳導層。沉積於絕緣層611中開口內之部分一或更多傳導層未移除,成為圖案化之傳導部件,諸如傳導部件811、812、813、815及816。
在一實施例中,基底層包括沉積於傳導障壁層上之傳導晶種層。在替代實施例中,晶種層為銅、鈦氮化物、釕、鎳、鈷、鎢、或其任何組合。在一實施例中,傳導障壁層包括鋁、鈦、鈦氮化物、鉭、鉭氮化物、鎢、鈷、釕、相似金屬、或其任何組合。通常,傳導障壁層係用以避免傳導材料從晶種層擴散進入絕緣層611,並提供晶種層之黏附。每一傳導障壁層及晶種層可使用半導體製 造技藝中一般技術之人士已知之任何薄膜沉積技術沉積,例如藉由濺鍍、全面式沉積等。在一實施例中,每一傳導障壁層及晶種層具有約1奈米(nm)至100nm範圍之厚度。在一實施例中,障壁層可為薄介電,被蝕刻而建立針對以下金屬層之傳導性。在一實施例中,障壁層可完全省略,且適當摻雜銅線可用以製造「自形成障壁」。
在一實施例中,銅傳導層係藉由電鍍程序而沉積至銅晶種層上。在另一實施例中,傳導層係使用半導體製造技藝中一般技術之人士已知之一選擇沉積技術,而沉積至晶種層上,例如電鍍、非電解電鍍等技術。在一實施例中,傳導層之材料選項判定晶種層之材料選項。例如,若傳導層之材料包括銅,則晶種層之材料亦包括銅。在替代實施例中,可用於傳導層以形成部件811、812、813、815及816之傳導材料範例包括但不侷限於例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Au)、鉑(Pt)、鋯、錫、鉛、金屬合金、金屬碳化物,例如鉿碳化物、鋯碳化物、鈦碳化物、鉭碳化物、鋁碳化物、其他傳導材料、或其任何組合。
在一實施例中,形成傳導部件811、812、813、815及816包含使用蝕刻、拋光、或其技術組合,移除絕緣層611中開口外部之部分傳導層及基底層,例如 微電子裝置製造技藝中一般技術之人士已知之化學機械拋光(CMP)技術。
圖9A為視圖910,類似於圖8A,圖9B為視圖920,類似於圖8B,及圖9C為視圖930,類似於圖8C,依據一實施例,為部分電子裝置翻轉及黏合至載體基板911後之視圖。互連層821依附至載體基板911,而形成裝置層104上之接觸區。
在各式實施中,基板911可為例如玻璃、有機、陶瓷、或半導體基板。在一實施例中,基板911為以上關於基板101描述之一基板。在一實施例中,互連層821使用微電子裝置製造技藝中一般技術之人士已知之一基板黏合技術而依附至載體基板,例如氧化物至氧化物黏合、聚合物至聚合物黏合、金屬至金屬黏合、氮化物至氮化物黏合。
在一實施例中,黏附層(未顯示)沉積至載體基板911上,以黏合載體基板至互連層821。在一實施例中,黏附層包含有機材料、無機材料、或二者。在一實施例中,黏附層為非結晶氫化矽層、摻碳氧化矽層、熱塑性聚合物層、或微電子裝置製造技藝中一般技術之人士已知之任何其他黏附材料。在實施例中,黏附層使用微電子裝置製造技藝中一般技術之人士已知之一黏附層沉積技術,而全面式沉積於載體基板911上。
圖10A為視圖1010,類似於圖9A,圖10B為視圖1020,類似於圖9B,及圖10C為視圖1030,類似 於圖9C,依據一實施例,為裝置層104之閘極部1012暴露後之視圖。在一實施例中,暴露閘極部1012包含移除部分鰭部102及基板101。在一實施例中,使用一或更多基板移除技術移除背面基板101,諸如但不侷限於CMP、蝕刻、或二者。在一實施例中,使用一或更多基板移除技術移除部分鰭部102,諸如但不侷限於研磨、CMP、蝕刻、或其任何組合。移除基板101及部分鰭部102以形成背面開口1011,其暴露裝置層104之閘極部1012。
圖11A為視圖1110,類似於圖10A,圖11B為視圖1120,類似於圖10B,及圖11C為視圖1130,類似於圖10C,依據一實施例,為填充背面開口1011之絕緣層1113沉積至閘極部1012上後之視圖。於絕緣層1113中形成背面開口1111及1112,以暴露裝置層104之部1114及1115,而形成接觸區。在一實施例中,絕緣層1113為以上描述之一絕緣層。在一實施例中,絕緣層1113係使用以上描述之一絕緣層沉積技術沉積。在一實施例中,開口1111及1112係使用微電子裝置製造技藝中一般技術之人士已知之一或更多圖案化及蝕刻技術形成。
圖12A為視圖1210,類似於圖11A,圖12B為視圖1220,類似於圖11B,及圖12C為視圖1230,類似於圖11C,依據一實施例,為摻雜層1211沉積穿過背面開口1111至部1114及穿過背面開口1112至部1115後之視圖。在一實施例中,部1114及1115為裝置層104之接觸區。在一實施例中,部1114及1115為源極/汲極 區,或裝置層104之其他接觸區。即,裝置層104之源極/汲極部1114及1115係使用背面磊晶層處理而形成。如圖12A、12B、及12C中所示,絕緣層1212包含絕緣層107、絕緣層411、絕緣層611及絕緣層1113。
在一實施例中,摻雜層1211係磊晶生長於部1114及1115上。在一實施例中,摻雜層1211中摻雜劑之濃度大於部1114及1115中。在一實施例中,摻雜層1211為n型半導體層。在另一實施例中,摻雜層1211為p型半導體層。在一實施例中,摻雜層412為n型半導體層,及摻雜層1211為p型半導體層,反之亦然。在另一實施例中,二摻雜層412及1211為n型半導體層或p型半導體層。在一實施例中,摻雜層1211為矽層。在一實施例中,摻雜層1211為包含p型摻雜劑之p型矽層,例如硼、鋁、氮、鎵、銦、其他p型摻雜劑、或其任何組合。在一實施例中,摻雜層1211為包含n型摻雜劑之n型矽層,例如磷、砷、鉍、鋰、其他n型摻雜劑、或其任何組合。在替代實施例中,摻雜層1211為矽、鍺、矽鍺、基於III-V材料之層、或其任何組合。在一實施例中,摻雜層1211之厚度為約10nm至約50nm。
在替代實施例中,摻雜層1211使用一或更多沉積技術,經由背面開口1111及1112而選擇性沉積於裝置層104之暴露部分1114及1115上,諸如但不侷限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束磊 晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、或微電子裝置製造技藝中一般技術之人士已知之其他沉積技術。
在一實施例中,摻雜層1211係以高於室溫之提高溫度退火達預定時間,以驅動摻雜劑進入部1114及1115,而形成源極/汲極1213及1214。在一實施例中,摻雜層1211係以約800℃至約1200℃之溫度退火,達約0.25秒或更少。
在一實施例中,在退火摻雜層1121後,使用一或更多摻雜層移除技術移除,諸如但不侷限於化學機械拋光(CMP)、蝕刻、或二者。在一實施例中,經由暴露背面而移除退火之摻雜層1121。
圖13A為視圖1310,類似於圖11A,圖13B為視圖1320,類似於圖11B,及圖13C為視圖1330,類似於圖11C,依據另一實施例,為添加摻雜劑穿過背面開口1111及1112,至裝置層104之暴露部1114及1115,而形成源極/汲極區1311及源極/汲極區1312後之視圖。即,經由背面暴露處理而形成源極/汲極區1311及1312。
在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一植入技術,將摻雜劑添加至暴露之部1114及1115。在一實施例中,添加至暴露之部1114及1115之摻雜劑為n型摻雜劑,例如磷、砷、鉍、鋰、其他n型摻雜劑、或其任何組合。在另一實施例中,添加 至暴露之部1114及1115之摻雜劑為p型摻雜劑,例如硼、鋁、氮、鎵、銦、其他p型摻雜劑、或其任何組合。
圖14A為視圖1410,類似於圖12A,圖14B為視圖1420,類似於圖12B,及圖14C為視圖1430,類似於圖12C,依據一實施例,為於裝置層104上沉積互連層1414後之視圖。互連層1414包含傳導部件1411、1412及1413。傳導部件1411連接至源極/汲極1213,及傳導部件1412連接至裝置層104之源極/汲極1214。在另一實施例中,傳導部件1411連接至源極/汲極1311,及傳導部件1412連接至圖13A及13B中所示之源極/汲極1312。
在一實施例中,傳導部件1411及1412為傳導通孔、凹槽、或其他傳導部件,以將裝置層連接至互連層1414之部件。傳導部件1413連接至傳導部件1411。在一實施例中,傳導部件1413為傳導線。在另一實施例中,傳導部件1413為通孔、凹槽、或其他傳導部件。在一實施例中,互連層1414之每一傳導部件為以上所描述之一傳導部件。在一實施例中,互連層1414之每一傳導部件係使用以上所描述之一傳導部件形成技術形成。
圖15依據一實施例,為一部分電子裝置1500之三維視圖。圖15中所示之裝置1500之實施例與圖14A、14B、及14C不同,其中互連層1414包含沉積於金屬閘極713上之傳導部件1501,及沉積傳導部件1501及1412上之傳導部件1502。在一實施例中,傳導部件1501 為傳導通孔、凹槽、或其他傳導部件,以將閘極713連接至互連層1414之部件。在一實施例中,傳導部件1502為傳導線。在另一實施例中,傳導部件1502為通孔、凹槽、或其他傳導部件。在一實施例中,每一互連層1414之傳導部件為以上所描述之一傳導部件。在一實施例中,互連層1414之每一傳導部件係使用以上所描述之一傳導部件形成技術形成。
圖16A為視圖1610,類似於圖12A,圖16B為視圖1620,類似於圖12B,及圖16C為視圖1630,類似於圖12C,依據一實施例,為於開口1111之側壁上沉積間隔層1612後之視圖。圖16A與圖12A不同,其中絕緣層1113係沉積於源極/汲極1214上。如圖16A及16B中所示,間隔層1612經沉積而使開口1111變窄,使得形成開口1614。向下形成開口1614至源極/汲極1213。開口1614之寬度小於開口1111之寬度。在一實施例中,間隔層1612為以上所描述之一間隔層。在一實施例中,間隔層1612係使用以上所描述之一間隔沉積技術沉積。
在另一實施例中,絕緣層1113係沉積於源極/汲極1213、閘極部1012及源極/汲極1214上,且開口1614係藉由圖案化及蝕刻絕緣層1113而形成。在一實施例中,開口1614經形成而連接裝置層106之源極/汲極區與裝置層104之源極/汲極區。
圖17A為視圖1710,類似於圖16A,圖17B為視圖1720,類似於圖16B,及圖17C為視圖1730,類 似於圖16C,依據一實施例,為形成開口1711穿過裝置層104及106,至傳導部件811後之視圖。在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一或更多蝕刻技術,蝕刻部分絕緣層411及部分裝置層104及106,而形成開口1711。
圖18A為視圖1810,類似於圖17A,圖18B為視圖1820,類似於圖17B,及圖18C為視圖1830,類似於圖17C,依據一實施例,為移除間隔層1612,且傳導部件1811沉積進入絕緣層中之開口後之視圖。如圖18A、18B、及18C中所示,傳導部件1812係沉積於金屬閘極713上。在一實施例中,使用以上所描述之一蝕刻技術,於絕緣層1212中形成開口以暴露金屬閘極713。在一實施例中,傳導層1812係沉積於暴露之金屬閘極713上,穿過絕緣層1212中之開口。
在一實施例中,使用微電子裝置製造技藝中一般技術之人士已知之一間隔層移除技術,移除間隔層1612。在一實施例中,每一傳導部件1811及1812係由以上所描述之一傳導部件代表。在一實施例中,每一傳導部件1811及1812係使用以上所描述之一傳導部件沉積技術沉積。
圖19A為視圖1910,類似於圖16A,圖19B為視圖1920,類似於圖16B,及圖19C為視圖1930,類似於圖16C,依據另一實施例,為沉積傳導部件1911及傳導部件1912後之視圖。在一實施例中,源極/汲極區 1311及1312為使用植入技術形成之源極/汲極區,如關於圖13A、13B、及13C所描述。在一實施例中,源極/汲極區1311及1312分別代表源極/汲極區1213及1214。在一實施例中,形成絕緣層1212中之開口,以暴露源極/汲極區1311及源極/汲極區511。在一實施例中,藉由選擇性蝕刻絕緣層1212至裝置層106及104,暴露源極/汲極區1311及源極/汲極區511,而形成開口。在一實施例中,沉積間隔層而使絕緣層中之開口變窄,如以上關於圖16A、16B、及16C所描述。在一實施例中,傳導部件1911之部1917係經由絕緣層1212之窄化開口,而沉積至源極/汲極區1311之暴露之側壁1913及1914上,及源極/汲極區511之暴露之側壁1915上。在一實施例中,移除間隔層,接著填充絕緣層1212中開口之傳導部件1911之部1916沉積於部1917上。如圖19A、19B、及19C中所示,傳導部件1912係沉積於金屬閘極713上。
在一實施例中,絕緣層1212中之開口係使用以上所描述之一蝕刻技術形成。在一實施例中,間隔層係使用以上所描述之一間隔層移除技術移除。在一實施例中,每一傳導部件1911及1912為以上所描述之一傳導層。在一實施例中,每一傳導部件1911及1912係使用以上所描述之一傳導部件沉積技術沉積。
圖20A為視圖2010,類似於圖6A,圖20B為視圖2020,類似於圖6B,及圖20C為視圖2030,類似 於圖6C,依據另一實施例,為於裝置層106上沉積互連層821後之視圖。圖20A、20B、20C與圖8A、8B、及8C不同,其中金屬閘極713係沉積於裝置層106之部722之所有側壁724及725上之氧化物層711上,及沉積於裝置層104之部723之所有側壁726及727之氧化物層712上。
圖21A為視圖2110,類似於圖20A,圖21B為視圖2120,類似於圖20B,及圖21C為視圖2130,類似於圖20C,依據另一實施例,為部分電子裝置翻轉及黏合至載體基板911,及部分鰭部102及基板101移除後之視圖。在一實施例中,部分電子裝置翻轉及黏合至載體基板,如以上關於圖9A、9B、及9C所描述。移除基板101及部分鰭部102以形成背面開口2111,其暴露一部分閘極713。在一實施例中,移除部分鰭部102及基板101,如以上關於圖10A、10B、及10C所描述。
圖22A為視圖2210,類似於圖21A,圖22B為視圖2220,類似於圖21B,及圖22C為視圖2230,類似於圖21C,依據另一實施例,為絕緣層2211沉積至暴露之閘極部713上後之視圖。在一實施例中,絕緣層2211為以上所描述之一絕緣層。在一實施例中,絕緣層2211為部分絕緣層1212.。背面開口2212及2213係形成於絕緣層2211中,以暴露部分裝置層104而形成接觸區,如以上關於圖11A、11B、及11C所描述。摻雜層1211係沉積穿過背面開口2212及2213至裝置層104之 暴露部分,而形成源極/汲極區1213及1214,如以上關於圖11A、11B、及11C所描述。在另一實施例中,源極/汲極區1213及1214代表關於圖13A、13B、及13C所描述之源極/汲極區1311及1312。
圖23A為視圖2310,類似於圖22A,圖23B為視圖2320,類似於圖22B,及圖23C為視圖2330,類似於圖22C,依據另一實施例,為傳導部件2311及傳導部件2312沉積至源極/汲極區1213及1214上後之視圖。在一實施例中,沉積每一傳導部件2311及2312,如以上關於圖14A、14B、及14C所描述。
圖24A為視圖2410,類似於圖23A,圖24B為視圖2420,類似於圖23B,及圖24C為視圖2430,類似於圖23C,依據另一實施例,為於絕緣層2211中形成開口2411以暴露一部分閘極713後之視圖。在一實施例中,開口2411係使用微電子裝置製造技藝中一般技術之人士已知之一圖案化及蝕刻技術形成。
圖25A為視圖2510,類似於圖24A,圖25B為視圖2520,類似於圖24B,及圖25C為視圖2530,類似於圖24C,依據另一實施例,為絕緣層2211中背面開口2411形成後之視圖。一部分閘極713經由背面開口2411移除,而暴露金屬閘極713之部2511。在一實施例中,開口2411係使用微電子裝置製造技藝中一般技術之人士已知之一圖案化及蝕刻技術形成。在一實施例中,移除部分閘極713而於裝置層104之閘極部723之側壁726 及727上暴露氧化物層712。裝置層106之閘極部722上之氧化物層711係在金屬閘極713之部2511之下。
圖26A為視圖2610,類似於圖25A,圖26B為視圖2620,類似於圖25B,及圖26C為視圖2630,類似於圖25C,依據另一實施例,為絕緣層2611沉積於金屬閘極713之部2511上後之視圖。在一實施例中,絕緣層2611為以上所描述之一絕緣層。在更特定實施例中,絕緣層2611為以上所描述之一氧化物層。在一實施例中,絕緣層2611之厚度為約2埃(Å)至約200Å。在一實施例中,絕緣層2611係使用以上所描述之一絕緣層沉積技術沉積,例如旋塗技術。在一實施例中,絕緣層2611凹入而於裝置層104之部723之底部上,絕緣層2611及氧化物層712之間形成間隙2612。在一實施例中,絕緣層2611係使用以上所描述之一或更多CMP及蝕刻技術凹入。
圖27A為視圖2710,類似於圖26A,圖27B為視圖2720,類似於圖26B,及圖27C為視圖2730,類似於圖26C,依據另一實施例,為金屬閘極2711沉積於絕緣層2611上後之視圖。在另一實施例中,絕緣層2611未沉積,且金屬閘極2711直接沉積在金屬閘極713之部2511上。在一實施例中,金屬閘極2711係由金屬閘極714代表。
圖28A為視圖2810,類似於圖27A,圖28B為視圖2820,類似於圖27B,及圖28C為視圖2830,類 似於圖27C,依據另一實施例,為傳導部件2811沉積以接觸金屬閘極2711後之視圖。在一實施例中,傳導部件2811係由傳導部件1501代表。
圖29描繪中介層2900,其包括本發明之一或更多實施例。中介層2900為中介基板,用以橋接第一基板2902至第二基板2904。第一基板2902可為例如積體電路晶粒。第二基板2904可為例如記憶體模組、電腦主機板、或另一積體電路晶粒。通常,中介層2900之用途是伸展連接至更寬間距,或重路由連接至不同連接。例如,中介層2900可耦接積體電路晶粒至球柵陣列(BGA)2906,其後續可耦接至第二基板2904。在若干實施例中,第一及第二基板2902/2904依附至中介層2900之相對側。在其他實施例中,第一及第二基板2902/2904依附至中介層2900之相同側。且在進一步實施例中,三或更多基板藉由中介層2900而互連。
中介層2900可由環氧樹脂、纖維玻璃增強環氧樹脂、陶瓷材料、或諸如聚醯亞胺之聚合物材料形成。在進一步實施中,中介層可由替代剛性或撓性材料形成,可包括上述用於半導體基板之相同材料,諸如矽、鍺、及其他III-V族及IV族材料。
中介層可包括金屬互連2908、通孔2910,包括但不侷限於矽通孔(TSV)2912。中介層2900可進一步包括嵌入裝置2914,包括被動及主動裝置。該等裝置但不侷限於堆疊電晶體或以上描述之其他堆疊裝置,例如 電容器、去耦接電容器、電阻器、電感器、熔絲、二極體、電晶體、感應器、靜電放電(ESD)裝置、射頻(RF)裝置、功率放大器、電力管理裝置、天線、陣列、電感器及MEMS裝置。依據本發明之實施例,文中揭露之設備或程序可用於中介層2900之製造。
圖30依據本發明之一實施例,描繪運算裝置3000。運算裝置3000可包括若干組件。在一實施例中,該些組件依附至一或更多主機板。在替代實施例中,該些組件係組裝置單一系統晶片(SoC)晶粒上,而非主機板。運算裝置3000中之組件包括但不侷限於積體電路晶粒3002及至少一通訊晶片3008。在若干實施中,通訊晶片3008被組裝為部分積體電路晶粒3002。積體電路晶粒3002可包括處理器3004,諸如中央處理單元(CPU)、晶粒上記憶體3006,通常用做快取記憶體,其可由諸如嵌入DRAM(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)技術提供。
運算裝置3000可包括其他組件,可或不可實體及電耦接至主機板或組裝於SoC晶粒內。該些其他組件包括但不侷限於揮發性記憶體3010(例如DRAM)、非揮發性記憶體3012(例如ROM或快閃記憶體)、圖形處理單元3014(GPU)、數位信號處理器3016(DSP)、加密處理器3042(執行硬體內加密演算法之專業處理器)、晶片組3020、天線3022、顯示裝置或觸控螢幕顯示裝置3024、觸控螢幕顯示裝置控制器3026、電池3028 或其他電源、全球定位系統(GPS)裝置3044、功率放大器(PA)、羅盤、動作協處理器或感應器3032(可包括加速計、陀螺儀、及羅盤)、揚聲器3034、相機3036、使用者輸入裝置3038(諸如鍵盤、滑鼠、觸控筆、及觸控墊)、及大量儲存裝置3040(諸如硬碟機、光碟(CD)、數位影音光碟(DVD)等)。
通訊晶片3008致能無線通訊,供資料轉移至及自運算裝置3000。「無線」用詞及其衍生字可用以描述電路、裝置、系統、方法、技術、通訊通道等,其可經由使用調變電磁輻射經由非固態媒體而傳遞資料。此用詞並未暗示相關裝置未包含任何線路,儘管在若干實施例中,未包含任何線路。通訊晶片3008可實施任何數量無線標準或協定,包括但不侷限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物、以及任何其他無線協定,其指配做為3G、4G、5G、及更先進版本。運算裝置3000可包括複數通訊晶片3008。例如,第一通訊晶片3008可專用於短距離無線通訊,諸如Wi-Fi及藍牙,第二通訊晶片3008可專用於長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他。
「處理器」用詞可指任何裝置或部分裝置,其處理來自暫存器及/或記憶體之電子資料,將電子資料 轉換為可儲存於暫存器及/或記憶體之其他電子資料。例如積體電路晶粒3002、通訊晶片3008、GPU 3014、加密處理器3042、DSP 3016、晶片組3020、及其他組件之一或更多組件可包括一或更多堆疊電晶體,或依據本發明之實施例形成之其他堆疊裝置。在進一步實施例中,容納於運算裝置3000內之另一組件可包含一或更多堆疊電晶體,或依據本發明之實施例形成之其他堆疊裝置。
在各式實施例中,運算裝置3000可為膝上型電腦、上網筆電、筆記型電腦、超薄型筆電、智慧手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。在進一步實施中,運算裝置3000可為處理資料之任何其他電子裝置。
以上描繪本發明實施之描述包括摘要中之描述,不希望窮舉或侷限本發明為所揭露之精準形式。雖然文中為描繪而描述本發明之特定實施及範例,如熟悉相關技藝之人士將認同,各式等效修改在本發明之範圍內。
鑒於以上詳細描述,本發明可實施該些修改。下列申請項中使用之用詞不應解譯為侷限本發明為說明書及申請項中所揭露之特定實施。而是,本發明之範圍完全由下列申請項判定,其將依據申請項所建立之學說解釋。
下列範例關於進一步實施例: 在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層,其包含移除至少一部分第二基板;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;以及於接觸區上沉積傳導層。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二 基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區,其中,形成接觸區包含於暴露之第一部上沉積摻雜層。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區,其中,形成接觸區包含於暴露之第一部上沉積摻雜層;以及退火摻雜層。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區,其中,形成接觸區包含於暴露之第一部上沉積摻雜層,並移除摻雜層。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二 基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區,其中,形成接觸區包含使用植入技術添加摻雜劑至暴露之第一部。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;於接觸區上沉積第二絕緣層;於第二絕緣層中形成開口,以暴露一部分接觸區;以及沉積間隔層至開口之側壁上。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;於接觸區上沉積第二絕緣層;於第二絕緣層中形成開口,以暴露一部分接觸區;沉積間隔層至開口之側壁上;蝕刻源極/汲極區,以暴露一部分第一互連層;以及沉積傳導層至第一互連層之暴露之部分上。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;於接觸區上沉積第二絕緣層;於第二絕緣層中形成開口,以暴露一部分接觸區;沉積間隔層至開口之側壁上;沉積傳導層至接觸區上;於第二絕緣層中形成第二開口,以暴露第二裝置層之閘極部,第二裝置層之閘極部包含第一金屬層。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;於第一絕緣層中形成第二開口,以暴露第二裝置層之閘極部,第二裝置層之閘極部包含第一金屬層;凹入第一金屬層以暴露第一裝置層之閘極部;沉積第三金屬層至第一裝置層之閘極部上,其中,第三金屬層與第一金屬層不同;以及沉積傳導層至第三金屬層上。
在一實施例中,一種製造電子裝置之方法,包含黏合第一互連層至第一基板,其中,第一互連層係沉 積於第二基板上之第二裝置層上之第一裝置層上;從第二基板側暴露第二裝置層;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;於第二裝置層之暴露之第一部上形成接觸區;於第一絕緣層中形成第二開口,以暴露第二裝置層之閘極部,第二裝置層之閘極部包含第一金屬層;以及於第一裝置層之暴露之閘極部上沉積第三絕緣層。
在一實施例中,一種製造電子裝置之方法,包含於第一基板上形成鰭部,鰭部包含第二裝置層上之第一裝置層,其中,第一中間層係沉積於第一裝置層及第二裝置層之間,且其中,第一互連層係沉積於第一裝置層上;黏合第一互連層至第二基板;移除第一基板;於暴露之第二裝置層上沉積第一絕緣層;於第一絕緣層中形成第一開口,以暴露第二裝置層之第一部;以及於第二裝置層之暴露之第一部上形成接觸區。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;以及於第二電晶體層上形成第二源極/汲極區。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於鰭部上形成第一閘 極;於第一閘極上形成間隔;於第一電晶體層上形成第一源極/汲極區;以第二閘極置換第一閘極;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;以及於第二電晶體層上形成第二源極/汲極區。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;移除第一中間層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;以及於第二電晶體層上形成第二源極/汲極區。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;於第二電晶體層上沉積絕緣層;於絕緣層中形成開口;於第二電晶體層上形成第二源極/汲極區穿越開口。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;於第二電晶體層上形成第二源極/汲極區;於第二電晶體層上沉積絕緣層;於絕緣層中形成開口;以及沉積間隔層至開口之側 壁上。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;於第二電晶體層上形成第二源極/汲極區;以及於第二源極/汲極區上沉積傳導層。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;以及於第二電晶體層上形成第二源極/汲極區,其中,形成第二源極/汲極區包含沉積摻雜層。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;以及於第二電晶體層上形成第二源極/汲極區,其中,形成第二源極/汲極區包含使用植入技術添加摻雜劑。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上 之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;於第二電晶體層上形成第二源極/汲極區;蝕刻第二源極/汲極區,以暴露一部分互連層;以及沉積傳導層至第一互連層之暴露之部分上。
在一實施例中,一種製造堆疊電晶體之方法,包含形成鰭部,其包含背面基板上之第二電晶體層上之第一中間層上之第一電晶體層;於第一電晶體層上形成第一源極/汲極區;形成互連層,以連接至源極/汲極區;黏合互連層至載體基板;移除背面基板;於第二電晶體層上形成第二源極/汲極區;於第二電晶體層上沉積絕緣層;於絕緣層中形成開口,以暴露第二電晶體層之閘極部;以及於閘極部上沉積傳導層。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;第二電晶體層上之第二閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區,其中,一部分第一互連層延伸穿過第一源極/汲極區,而連接至第二源極/汲極區。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區,其中,一部分第一互連層環繞第一源極/汲極區,而連接至第二源極/汲極區。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區,其中,第一閘極係在第二電晶體層上。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區,其中,第一閘極包含金屬。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體 層上之第一源極/汲極區;第一電晶體層上之第一閘極;第二互連層,連接至第二電晶體層上之第二源極/汲極區;以及在第一閘極下之絕緣層。
在一實施例中,一種電子裝置包含第二電晶體層上之第一電晶體層;第一互連層,連接至第一電晶體層上之第一源極/汲極區;第一電晶體層上之第一閘極;以及第二互連層,連接至第二電晶體層上之第二源極/汲極區,其中,第二電晶體層上之第一電晶體層鰭部之一部分。
在上述說明書中,方法及設備已參照其特定示例實施例描述。顯然可進行各式修改,而未偏離下列申請項中提出之實施例的廣大精神及範圍。因此,說明書及圖式將視為描繪而非限制。
104、106:裝置層
1212:絕緣層
412、1211:摻雜層
713、714:金屬閘極
811、813、815、1411、1412、1413、1501、1502:傳導部件
1414:互連層
911:載體基板
1500:電子裝置

Claims (11)

  1. 一種製造電子裝置之方法,該方法包含:於第二電晶體層上形成第一電晶體層;形成第一互連層,其耦接至該第一電晶體層上的第一源極/汲極區;於該第一電晶體層上形成第一閘極,該第一閘極具有第一成份,其中該第一閘極為n型閘極;形成第二互連層,其耦接至該第二電晶體層上的第二源極/汲極區,該第二互連層具有最頂表面;以及於該第二電晶體層上形成第二閘極,該第二閘極具有不同於該第一成份的第二成份,其中該第二閘極為p型閘極,而其中該第二閘極係直接於該第一閘極上,且其中該第二閘極具有最底表面,該最底表面係在該第二互連層之該最頂表面之上。
  2. 如請求項1之方法,其中,該第一互連層的一部份係延伸穿過該第一源極/汲極區,耦接至該第二源極/汲極區。
  3. 如請求項1之方法,其中,該第一互連層的一部份係環繞該第一源極/汲極區,耦接至該第二源極/汲極區。
  4. 如請求項1之方法,進一步包含在該第一閘極之下形成第一絕緣層。
  5. 一種製造電腦裝置之方法,該方法包 含:提供板;以及將耦接至該板的元件耦接,該元件包含積體電路結構,該積體電路結構包含:於第二電晶體層上的第一電晶體層;第一互連層,耦接至該第一電晶體層上的第一源極/汲極區;於該第一電晶體層上的第一閘極,該第一閘極具有第一成份,其中該第一閘極為n型閘極;第二互連層,耦接至該第二電晶體層上的第二源極/汲極區,該第二互連層具有最頂表面;及於該第二電晶體層上的第二閘極,該第二閘極具有不同於該第一成份的第二成份,其中該第二閘極為p型閘極,而其中該第二閘極係直接於該第一閘極上,且其中該第二閘極具有最底表面,該最底表面在該第二互連層之該最頂表面之上。
  6. 如請求項5之方法,進一步包含將耦接至該板的記憶體耦接。
  7. 如請求項5之方法,進一步包含將耦接至該板的通訊晶片耦接。
  8. 如請求項5之方法,進一步包含將耦接至該板的相機耦接。
  9. 如請求項5之方法,進一步包含將耦接至該板的電池耦接。
  10. 如請求項5之方法,進一步包含將耦接至該板的天線耦接。
  11. 如請求項5之方法,其中,該元件係封裝積體電路晶粒。
TW111106248A 2015-12-18 2016-11-14 製造電子裝置及電腦裝置之方法 TWI784884B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US15/66889 2015-12-18
PCT/US2015/066889 WO2017105515A1 (en) 2015-12-18 2015-12-18 Stacked transistors

Publications (2)

Publication Number Publication Date
TW202224103A TW202224103A (zh) 2022-06-16
TWI784884B true TWI784884B (zh) 2022-11-21

Family

ID=59057233

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111106248A TWI784884B (zh) 2015-12-18 2016-11-14 製造電子裝置及電腦裝置之方法
TW105137105A TWI766848B (zh) 2015-12-18 2016-11-14 堆疊電晶體

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105137105A TWI766848B (zh) 2015-12-18 2016-11-14 堆疊電晶體

Country Status (3)

Country Link
US (2) US11257929B2 (zh)
TW (2) TWI784884B (zh)
WO (1) WO2017105515A1 (zh)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11264493B2 (en) * 2015-09-25 2022-03-01 Intel Corporation Wrap-around source/drain method of making contacts for backside metals
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2019040071A1 (en) 2017-08-24 2019-02-28 Intel Corporation FORMATION OF SHARED GRID PATTERNS AND VERTICAL STACK FINFET TRANSISTORS
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11107811B2 (en) 2017-07-01 2021-08-31 Intel Corporation Metallization structures under a semiconductor device layer
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10453752B2 (en) * 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10269914B2 (en) 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10418449B2 (en) 2018-01-10 2019-09-17 Globalfoundries Inc. Circuits based on complementary field-effect transistors
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
FR3090998B1 (fr) 2018-12-21 2022-12-09 Commissariat Energie Atomique Architecture à transistors n et p superposes a structure de canal formee de nanofils
US11605565B2 (en) * 2018-12-28 2023-03-14 Intel Corporation Three dimensional integrated circuits with stacked transistors
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
DE102020106252A1 (de) * 2019-04-12 2020-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung
US11374003B2 (en) 2019-04-12 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
DE102020105936B4 (de) 2019-04-15 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11088246B2 (en) 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
DE102020109756A1 (de) 2019-08-29 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistoren mit kanälen gebildet aus niedrigdimensionalenmaterialien und verfahren zum bilden derselben
US11417729B2 (en) * 2019-08-29 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with channels formed of low-dimensional materials and method forming same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11495540B2 (en) 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
DE102020127451B4 (de) 2020-05-08 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11532627B2 (en) * 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220028752A1 (en) * 2020-07-27 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and method for forming the same
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11764113B2 (en) 2020-10-20 2023-09-19 Tokyo Electron Limited Method of 3D logic fabrication to sequentially decrease processing temperature and maintain material thermal thresholds
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220231134A1 (en) * 2021-01-18 2022-07-21 Samsung Electronics Co., Ltd. Selective single diffusion/electrical barrier
US11735585B2 (en) * 2021-01-18 2023-08-22 Samsung Electronics Co., Ltd. Stacked semiconductor device having mirror-symmetric pattern
US11664433B2 (en) 2021-04-21 2023-05-30 Samsung Electronics Co., Ltd. Integrated circuit devices including stacked transistors
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11764207B2 (en) 2021-09-22 2023-09-19 Samsung Electronics Co., Ltd. Diode structures of stacked devices and methods of forming the same
US11817501B2 (en) 2021-09-22 2023-11-14 International Business Machines Corporation Three-dimensional, monolithically stacked field effect transistors formed on the front and backside of a wafer
EP4167275A1 (en) * 2021-10-18 2023-04-19 Imec VZW A method for forming an interconnection structure
US11961835B2 (en) * 2021-11-07 2024-04-16 International Business Machines Corporation Transistor usage metering through bias temperature instability monitoring
US20230197800A1 (en) * 2021-12-20 2023-06-22 Intel Corporation Non-reactive epi contact for stacked transistors

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200627453A (en) * 2004-06-30 2006-08-01 Koninkl Philips Electronics Nv Method for manufacturing an electric device with a layer of conductive material contacted by nanowire
TW200815924A (en) * 2006-08-30 2008-04-01 Fujitsu Ltd Resist cover film forming material, resist pattern forming method, and electronic device and method for manufacturing the same
TW200945534A (en) * 2008-02-01 2009-11-01 Toshiba Kk Semiconductor device and manufacturing method thereof
US20120220102A1 (en) * 2010-11-22 2012-08-30 Zvi Or-Bach Semiconductor device and structure
TW201237969A (en) * 2011-03-03 2012-09-16 Toshiba Kk Method of manufacturing semiconductor device
US20130043531A1 (en) * 2010-11-19 2013-02-21 Micron Technology, Inc. Vertically stacked fin transistors and methods of fabricating and operating the same
US20140035041A1 (en) * 2011-12-28 2014-02-06 Ravi Pillarisetty Techniques and configurations for stacking transistors of an integrated circuit device
US20140209865A1 (en) * 2011-12-28 2014-07-31 Ravi Pillarisetty Contact techniques and configurations for reducing parasitic resistance in nanowire transistors
TW201501326A (zh) * 2013-03-22 2015-01-01 Toshiba Kk 半導體裝置及其製造方法
US20150348945A1 (en) * 2010-11-18 2015-12-03 Monolithic 3D Inc. Novel 3d semiconductor device and structure

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7579623B2 (en) 2005-07-22 2009-08-25 Translucent, Inc. Stacked transistors and process
US7969776B2 (en) * 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
CN102640274B (zh) 2009-09-30 2016-05-11 三重富士通半导体股份有限公司 电子装置和系统及用于制造和使用该电子装置和系统的方法
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8232148B2 (en) 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
US9263342B2 (en) 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8860151B2 (en) 2013-03-01 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a spacer and a liner overlying a sidewall of a gate structure and method of forming the same
US9685436B2 (en) * 2013-06-25 2017-06-20 Intel Corporation Monolithic three-dimensional (3D) ICs with local inter-level interconnects

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200627453A (en) * 2004-06-30 2006-08-01 Koninkl Philips Electronics Nv Method for manufacturing an electric device with a layer of conductive material contacted by nanowire
TW200815924A (en) * 2006-08-30 2008-04-01 Fujitsu Ltd Resist cover film forming material, resist pattern forming method, and electronic device and method for manufacturing the same
TW200945534A (en) * 2008-02-01 2009-11-01 Toshiba Kk Semiconductor device and manufacturing method thereof
US20150348945A1 (en) * 2010-11-18 2015-12-03 Monolithic 3D Inc. Novel 3d semiconductor device and structure
US20130043531A1 (en) * 2010-11-19 2013-02-21 Micron Technology, Inc. Vertically stacked fin transistors and methods of fabricating and operating the same
US20120220102A1 (en) * 2010-11-22 2012-08-30 Zvi Or-Bach Semiconductor device and structure
TW201237969A (en) * 2011-03-03 2012-09-16 Toshiba Kk Method of manufacturing semiconductor device
US20140035041A1 (en) * 2011-12-28 2014-02-06 Ravi Pillarisetty Techniques and configurations for stacking transistors of an integrated circuit device
US20140209865A1 (en) * 2011-12-28 2014-07-31 Ravi Pillarisetty Contact techniques and configurations for reducing parasitic resistance in nanowire transistors
TW201501326A (zh) * 2013-03-22 2015-01-01 Toshiba Kk 半導體裝置及其製造方法

Also Published As

Publication number Publication date
TWI766848B (zh) 2022-06-11
TW201731025A (zh) 2017-09-01
TW202224103A (zh) 2022-06-16
US20220123128A1 (en) 2022-04-21
US11257929B2 (en) 2022-02-22
WO2017105515A1 (en) 2017-06-22
US20180315838A1 (en) 2018-11-01

Similar Documents

Publication Publication Date Title
TWI784884B (zh) 製造電子裝置及電腦裝置之方法
TWI706469B (zh) 形成背側自對準通孔的方法及所形成的結構
US10546772B2 (en) Self-aligned via below subtractively patterned interconnect
TW202013646A (zh) 裝置層互連
US20240154011A1 (en) Backside contact structures and fabrication for metal on both sides of devices
US10971394B2 (en) Maskless air gap to prevent via punch through
US10811351B2 (en) Preformed interlayer connections for integrated circuit devices
TW202101722A (zh) 具有局部互連體的自對準閘極端帽(sage)架構
TW202105721A (zh) 具有磷砷共摻雜物的源極或汲極結構
TWI747902B (zh) 製造電晶體裝置的方法、電子裝置及其製造方法
TW202247502A (zh) 基於虛擬矽穿孔板的解耦合電容器
TW202145564A (zh) 具有高磷摻雜物濃度的源極和汲極
US20220165867A1 (en) Gradient-doped sacrificial layers in integrated circuit structures
TW202301548A (zh) 由耦接晶粒形成的電容器
US11430866B2 (en) Device contact sizing in integrated circuit structures
TW202205545A (zh) 積體電路結構中的源極/ 汲極區
US11610810B2 (en) Maskless air gap enabled by a single damascene process
US20230101107A1 (en) Simultaneous filling of variable aspect ratio single damascene contact to gate and trench vias with low resistance barrierless selective metallization
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構
TWI833887B (zh) 具有閘極接點之自對準閘極端蓋(sage)架構