TWI657478B - 半導體製造裝置 - Google Patents

半導體製造裝置 Download PDF

Info

Publication number
TWI657478B
TWI657478B TW104139868A TW104139868A TWI657478B TW I657478 B TWI657478 B TW I657478B TW 104139868 A TW104139868 A TW 104139868A TW 104139868 A TW104139868 A TW 104139868A TW I657478 B TWI657478 B TW I657478B
Authority
TW
Taiwan
Prior art keywords
range
slit
semiconductor manufacturing
manufacturing apparatus
exhaust port
Prior art date
Application number
TW104139868A
Other languages
English (en)
Other versions
TW201640555A (zh
Inventor
辻直人
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201640555A publication Critical patent/TW201640555A/zh
Application granted granted Critical
Publication of TWI657478B publication Critical patent/TWI657478B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種半導體製造裝置,具有一平台以及一排氣管。該排氣管具有一環型通道、一環形狹縫以及一排氣口。該排氣管具有在該平台上圍繞一加工空間的一環形通道。經由該環形狹縫,一氣體被供應到該加工空間且被引導到該環形通道。經由該排氣口,在該環形通道內的該氣體被排放到外部,其中,該狹縫的該開口面積的百分比隨著離該排氣口的距離增加而增加。

Description

半導體製造裝置
本發明是有關於一種半導體製造裝置,使基板承受氣相加工。
US6,921,556B2揭露了一種排氣管道,經由該排氣管道,成膜所使用的氣體被排出到外部。
舉例而言,在成膜裝置上或蝕刻機上,設置排氣管以包圍一平台。供給到平台上的氣體沿徑向擴散,且被排氣管吸取。最佳地,供給到平台的氣體均勻地進入排氣管的徑向。換句話說,對於沒有位置依賴性的平台上的基板,進行均勻地加工,最好在平台邊緣的氣體流率是均勻的。
然而,氣體流率在平台邊緣的一些位置上增加,且氣體流率在平台邊緣的另一位置上減少。因此,存在氣體流率在平台邊緣不均勻的問題。尤其是當腔室中的壓力降低至約200帕(Pa),且腔室中的排出量相當大時,這個問題的嚴重性會增加。
本發明已解決上述問題,並且本發明的一個目的是提供一種能夠改良在平台邊緣的氣體流率均勻性的半導體 製造裝置。
本發明的特徵和優點可以總結如下。
根據本發明的一個樣態,一種半導體製造裝置包括:一平台以及一排出管。該排出管具有圍繞在該平台的一加工空間的一環狀通道。該排出管具有一環形狹縫,經由該狹縫,供給到該加工空間中的一氣體被引導到該環形通道。該排出管具有一排出口,經由該排出口,在該環形通道中的該氣體被排放到外部。其中,該狹縫的開口面積百分比隨著離排氣口的距離增加而增加。
本發明的其他與進一步的目的、特徵以及優點將由下列說明更顯而易見。
10‧‧‧半導體製造裝置
12‧‧‧腔室
14‧‧‧射頻電極
14a‧‧‧孔
16‧‧‧平台
17‧‧‧加工空間
18‧‧‧滑動軸
20‧‧‧絕緣部件
22‧‧‧氣體供給部
30‧‧‧排氣管
30a‧‧‧環形狹縫
30b‧‧‧環形通道
30c‧‧‧排出部
30A‧‧‧第一區域
30B‧‧‧第二區域
30C‧‧‧第三區域
30α‧‧‧上部
30β‧‧‧下部
32‧‧‧O形環
34‧‧‧O形環
36‧‧‧O形環
40‧‧‧排氣部
42‧‧‧閥
44‧‧‧真空泵
50‧‧‧排氣管
50a、50b、50c、60a、60b、70a、70b‧‧‧障礙器
P1‧‧‧位置
Z、Z1、Z2、Z3‧‧‧範圍
圖1是根據第一實施例的半導體製造裝置的剖面圖。
圖2是排氣管和其它部件的放大圖。
圖3是排氣管的平面圖。
圖4是排氣管局部的立體圖。
圖5是根據第二實施例的半導體製造裝置的排氣管局部的立體圖。
圖6是下部的平面圖。
圖7是根據第三實施例的半導體製造裝置的排氣管局部的示意圖。
圖8繪示模擬結果。
圖9是根據第四實施例的排氣管局部的立體圖。
圖10是根據第五實施例的排氣管局部的立體圖。
根據本發明的實施例的半導體製造裝置將參照附圖進行說明。相同或彼此對應的部件會用相同的參考符號表示,且在一些例子中省略重複的說明。
第一實施例
圖1是根據本發明第一實施例的半導體製造裝置10的剖面圖。半導體製造裝置10建構為薄膜形成裝置,舉例而言,以此在基板上進行電漿增強原子層沉積(PEALD)。半導體製造裝置10包括一個腔室(反應室)12。射頻電極14到施加射頻功率之處設置在腔室12內。孔14a被形成在射頻電極14上。
平台16設置在腔室12中,使面向於射頻電極14。平台16是承受器,被滑動軸18支撐。射頻電極14與平台16形成平行的平板結構。
氣體供給部22被連接到射頻電極14,其間插入絕緣部件20。氣體供給部22是一部件,通過該部件,原料氣體供給到射頻電極14與平台16之間的空間。在平台16上的空間中,置放在平台16上的基板進行諸如成膜的加工。因此,此空間被稱為加工空間17。
排氣管30設置在射頻電極14與腔室12之間。舉例而言,排氣管30由陶瓷形成。O形環32在排氣管30與射頻電極14之間提供適當地壓縮。O形環34在排氣管30與腔室12之間提供適當地壓縮。O形環36在排氣管30與排氣部 40之間提供適當地壓縮。
排氣管30被形成為環形(在俯視圖下),且環繞平台16。隨著排氣管30,在平台16上圍繞加工空間17設置有環形通道30b。在排氣管30中,經由環形狹縫30a,氣體被供應到加工空間17中,環形狹縫30a通到環形通道30b與排出部30c,經由形成的排出部30c,在環形通道30b中的氣體被排出到外界。
排氣口30c連接到設置在腔室12側面上的氣體排出部40。設置氣體排出部40用於排出用於成膜的原料氣體。閥42與真空泵44連接到氣體排出部40。藉由閥42與真空泵44調整排氣量,在腔室12中的壓力可被自由地控制。
圖2是排氣管30與其他部件的放大圖。排氣管具有上部30α與下部30β。Z表示狹縫30a在垂直方向上的範圍。本設備可藉由調整狹縫30a的範圍Z,調整由加工空間17進入環形通道30b的氣體。如果狹縫30a的範圍Z很大,原料氣體可以很容易地流入到環形通道30b中。如果狹縫30a的範圍Z很小,在該設備流入環形通道30b中的原料氣體減少。
圖3是排氣管30的平面圖。在垂直方向上的狹縫30a的範圍Z隨著距排氣口30c的距離增加而呈逐步增加。更具體地說,在從排氣口30c到一位置(在圓周方向上距離排氣管30的整個圓周的1/8之處)的區域(參照為第一區域30A)中,垂直方向上的狹縫的範圍是第一延伸Z1。即,在1/4的圓弧(中心位在排氣口30c)的垂直方向上的狹縫的範圍為Z1。例如,Z1是1.4釐米(mm)。
在從一位置(在圓周方向上距離排出口30c為排出管30的整個圓周的1/8之處)到另一位置(在圓周方向上又前進排出管30的整個圓周的1/8之處)的區域(參照為第二區域30B)中,垂直方向上的狹縫30a的範圍是第二延伸Z2。即,距第一區域30A為兩個1/8圓弧處是第二區域30B。例如,Z2是1.5釐米(mm)。
除了與第一範圍Z1(第一區域30A)與第二範圍Z2(第二區域30B)形成的部分以外,在部分(被稱為第三區域30C)的垂直方向上的縫隙30a的範圍是第三範圍Z3。即,遠離排氣口30c最遠的位置的1/2圓弧處是第三區域30C。例如,Z3是1.55釐米。
圖4是排出管30的局部的立體圖。在第一區域30A,在垂直方向上的狹縫30a的範圍Z1為1.4釐米。在第二區域30B,在垂直方向上的狹縫30a的範圍Z2為1.5釐米。在第三區域30C,在垂直方向上的狹縫30a的範圍Z3為1.55釐米。
現在將說明根據第一實施例的半導體製造裝置10的操作。如圖1所示,原料氣體經由射頻電極14上的孔14a供應到加工空間17。原料氣體的電漿由射頻電極14與平台16之間的電場所產生,在電力施加處之間產生,因此在平台16上的基板進行電漿式薄膜成形。如在平面圖中,用以成膜的原料氣體徑向擴散,且經由排氣管30的狹縫30a進入環形通道30b。在環形通道30b的氣體從排氣口30c排出到外部。
在環狀的狹縫30a的垂直方向上的範圍是均勻的 情況下,大量的氣體流經靠近排氣口30c的局部的裂縫30a,而小量的氣體流經遠離排氣口30c的部分。因此,在平台16(平台邊緣)的邊緣發生不均勻的氣流率。更具體地說,氣體率在更靠近排氣口30c的平台邊緣的部分增加,而氣流率在遠離排氣口30c的部分減少。
在本發明的第一實施例中,在排氣管30的狹縫30a的垂直方向上的範圍隨著離排氣口30c的距離增加而增加。狹縫30a如此形成後,更靠近排氣口30C的平台邊緣的一部分氣流率被抑制,且氣流率在遠離排氣口30c的平台邊緣的一部分增加。氣流率在平台邊緣的均勻性可因此提高。因此,在基板表面區域成膜效應的變化可以藉由排氣傳導在平台邊緣更均勻而減小。
根據本發明第一實施例的半導體製造裝置10可進行各種修改。在半導體製造裝置10中,第一範圍Z1設定為比第二範圍Z2小,並且第二範圍Z2設定為比第三範圍Z3更小,從而改善了氣流率在平台邊緣的均勻性。實際尺寸值Z1、Z2以及Z3可依所需任意改變。
而在垂直方向上的狹縫30a的範圍分三個等級(Z1、Z2、Z3)改變,等級的數目並不限於三個,只要範圍在兩個或多個等級中被改變。半導體製造裝置10的特徵在於排氣管30的形狀。本發明因此可應用於具有排氣管的各種半導體製造裝置。更具體地說,本發明的排氣管可被用於半導體製造裝置(諸如蝕刻器以及成膜裝置)。
這些修改可如所需應用於以下說明的實施例的半 導體製造裝置。根據下面說明的實施例的每個半導體製造裝置具有與第一實施例之間的許多共同點,因此主要將說明相對於第一實施例的不同點。
第二實施例
圖5是根據本發明第二實施例的半導體製造裝置的排氣管50的局部立體圖。在垂直方向上的狹縫30a的範圍Z隨著離排氣口30c的距離增加而平順地增加。因此,在排氣口30c形成的部分,在垂直方向上的狹縫30a的範圍Z被最小化,且離排氣口30c最遠處的部分範圍Z被最大化。
以此狹縫的形狀,從靠近排氣口30c的加工空間內的位置到環形通道30b的氣流會被抑制,且從遠離排氣口30c的處理空間中的位置的氣流被促進,從而提高了在平台邊緣的氣流率均勻性。
同時,根據第二實施例的排氣管50中,在圓周方向上距排氣口30c為整個圓周的四分之一處的狹縫30a的位置P1,氣流率高於任何其他位置。因此,提高在平台邊緣的氣流率均勻性的效果有限。
隨著離排氣口30c的距離增加,最佳為非線性與平順增加狹縫30a在垂直方向上的範圍,以便抑制在位置P1的垂直方向上的狹縫30a的範圍。例如,在排氣口30c的存在位置P1下,在垂直方向上的狹縫30a的範圍內速率的增加,被設定為相較於較遠處的狹縫30a在垂直方向上的範圍內的速率增加更小。在此例中,狹縫形狀可根據二次函數改變在垂直方向上的狹縫30a的範圍來實現。不用說,決定此變化的函數 的階數可被增加。
在本發明的第二實施例,在垂直方向上的狹縫30a的範圍Z隨著環形的整個排氣管30改變。然而,該設置可以是這樣的,在排氣口30c存在的既定位置的部分,範圍Z被改變,而在其他部分,範圍Z為常數。
第三實施例
圖6是根據本發明第三實施例的半導體製造裝置的排氣管的下部30β的平面圖。在此排氣管中,局部的狹縫被複數個阻礙物阻檔。圖6繪示下部30β與複數個障礙物。作為複數個障礙物,設置有3個障礙器50a、11個障礙器50b以及3個障礙器50c。接近排氣口30c形成障礙器50b。障礙器50a與障礙器50c被設置在障礙器50b位置之間的位置。
3個障礙器50a與3個障礙器50c中的每個是一相對較小寬度的一個障礙。11個障礙器各自為障礙器50a與障礙器50c寬度的兩倍寬。障礙器50b的設置密度為障礙器50a與障礙器50c的設置密度的兩倍。因此,複數個障礙物阻擋局部狹縫,使得狹縫的開口面積百分比隨著離排氣口30c的距離增加而增加。
圖7是根據本發明第三實施例的半導體製造裝置的排氣管的局部立體圖。在垂直方向上的狹縫30a的範圍Z是1.55釐米,並固定在該值。障礙器50a的寬度為1.5釐米。障礙器50a在縱向方向(從加工空間到環形通道30b的方向)上的範圍為8釐米。障礙器50c的形狀和障礙器50a相同。
障礙器50b的寬度是3釐米。障礙器50b在縱向 方向(從加工空間到環形通道30b的方向)上的範圍為8釐米。
在垂直方向上的複數個障礙的範圍等於在垂直方向上的狹縫30a的範圍,也就是1.55釐米。因此,複數個障礙的上末端與下末端被連接到排氣管30。複數個障礙可為部件,可從排氣管30分離地設置或與排氣管30一體成形。
如圖6所示,在更接近排氣口30c的位置,複數個障礙的密度與寬度被設置的比遠離排氣口30c的位置較高且較大,由此使狹縫30a的開口面積百分比與第一實施例中的狹縫30a的開口面積百分比大體上相同。氣流率在平台邊緣的均勻性因此可以改善。
圖8繪示模擬結果,顯示在平台邊緣的排氣管道的狹縫形狀以及氣流率的不均勻性(non-uniformity,NU)之間的關係。在平台邊緣的非均勻性的氣流率可表示為((Vmax-VMIN)/Vave的)×100,其中Vmax為平台邊緣的最大氣流率;Vmin為平台邊緣的最小氣流率;Vave是平台邊緣的平均氣流率。
「傳統排氣管」表示一個排氣管,其中,在垂直方向上的狹縫的範圍是均勻的。在垂直方向上的狹縫的範圍的具體數值為1.5釐米。根據第二實施例(圖5)「有斜率的ED」表示一種排氣管。根據第一實施例(圖3與圖4)的「有不同間隙高度的ED」表示一種排氣管。根據第三實施例(圖6與圖7)的「有障礙的ED」表示一種排氣管。
在「傳統排氣管」的情況下,非均勻性(NU)高達16.3%。在「有斜率的ED」的情況下,非均勻性稍微比「傳 統排氣管」的情況稍微改善。在「有不同間隙高度的ED」的情況下,非均勻性大幅改善降低至3.7%。此外,在「有障礙的ED」的情況下,非均勻性大幅改善降低至3.2%。
根據本發明第三實施例的複數個障礙,用於調節狹縫30a的開口面積百分比。因此,障礙的寬度和密度也可適當地改變。
第四實施例
根據第四實施例的半導體製造裝置將主要相對於根據第三實施例的半導體製造裝置的差異點來進行說明。圖9是根據第四實施例的排氣管的局部立體圖。複數個障礙:提供較小寬度的障礙器60a與較大寬度的障礙器60b。複數個障礙僅在其上末端接合到排氣管30。狹縫30a的開口面積的百分比可以通過調整複數個障礙物的末端與排氣管30之間的間隙而進行調整。
第五實施例
根據第五實施例的半導體製造裝置將主要相對於根據第三實施例的半導體製造裝置的差異點來進行說明。圖10是根據第五實施例的排氣管的局部立體圖。複數個障礙:提供較小寬度的障礙器70a與較大寬度的障礙器70b。複數個障礙僅在其下末端連接到排氣管30。狹縫30a的開口面積的百分比可以通過調整複數個障礙的上末端與排氣管30之間的間隙而進行調整。
本發明的半導體製造裝置的每個上述狹縫30a的形狀可適當改變,只要狹縫30a的開口面積的百分比隨著離排 氣口的距離增加而增加。根據實施例的半導體製造裝置的一些特徵可被適當地組合。
根據本發明,排氣管的狹縫的開口面積百分比隨著離排氣口的距離增加而距離。在平台邊緣的氣流率均勻性從而可改善。
根據上述教示,顯然本發明的許多修改和變型是可能的。因此可理解為在所附隨的申請專利範圍的範疇之內,本發明可以不同於上述的實施例而實行之。

Claims (12)

  1. 一種半導體製造裝置,包括:一平台;以及一排氣管,具有圍繞在該平台上的一加工空間的一環狀通道、一環形狹縫以及一排氣口,經由該環形狹縫,供應到該加工空間中的一氣體被引導到該環形通道,以及經由該排氣口,在該環形通道中的該氣體被排到外部,其中該狹縫的一開口面積的百分比隨著離該排氣口的距離增加而增加,在從該排氣口存在處至一預定位置的垂直方向上該狹縫的範圍內速率的增加,被設定為小於在較遠處的垂直方向上該狹縫的範圍內速率的增加。
  2. 如申請專利範圍第1項的半導體製造裝置,其中在垂直方向上的該狹縫的範圍隨著離該排氣口的距離增加而逐步增加。
  3. 一種半導體製造裝置,包括:一平台;以及一排氣管,具有圍繞在該平台上的一加工空間的一環狀通道、一環形狹縫以及一排氣口,經由該環形狹縫,供應到該加工空間中的一氣體被引導到該環形通道,以及經由該排氣口,在該環形通道中的該氣體被排到外部,其中該狹縫的一開口面積的百分比隨著離該排氣口的距離增加而增加,在垂直方向上的該狹縫的範圍隨著離該排氣口的距離增加而逐步增加,其中在垂直方向上的該狹縫的範圍是: 一第一範圍,位在從排氣口到距該排氣管的圓周方向上的整個圓周的1/8之處的一區域;一第二範圍,位在距該排氣口的圓周方向上的排氣管的整個圓周的1/8之處到在圓周方向上又前進排氣管的整個圓周的又1/8之處的一區域;以及一第三範圍,形成在該第一範圍與該第二範圍所在的部分以外的部分,其中,該第一範圍小於該第二範圍,且該第二範圍小於該第三範圍。
  4. 如申請專利範圍第1項的半導體製造裝置,其中在垂直方向上的該狹縫的範圍平順地隨著離該排氣口的距離增加而增加。
  5. 如申請專利範圍第1項的半導體製造裝置,其中在垂直方向上的該狹縫的範圍隨著離該排氣口的距離增加而呈非線性與平順的增加。
  6. 如申請專利範圍第1項的半導體製造裝置,包括複數個障礙,以該些障礙阻擋部分的該狹縫,使得該狹縫的該開口面積的百分比隨著離該排氣口的距離增加而增加。
  7. 如申請專利範圍第6項的半導體製造裝置,其中在離排氣口更近的位置的該複數些障礙的一密度與一寬度比起更遠離該排氣口位置被設定的較高且較大。
  8. 如申請專利範圍第6項的半導體製造裝置,其中在垂直方向上的該複數些障礙的範圍等於在垂直方向上的該狹縫的範圍。
  9. 如申請專利範圍第6項的半導體製造裝置,其中該複數些障礙僅在其上末端連接到該排氣管。
  10. 如申請專利範圍第6項的半導體製造裝置,其中該些障礙僅在其下末端連接到該排氣管。
  11. 如申請專利範圍第6項的半導體製造裝置,其中該些障礙與該排氣管一體成形。
  12. 如申請專利範圍第1至11項中任一項的半導體製造裝置,其中該排氣管是由陶瓷形成。
TW104139868A 2015-02-12 2015-11-30 半導體製造裝置 TWI657478B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/621,167 2015-02-12
US14/621,167 US9963782B2 (en) 2015-02-12 2015-02-12 Semiconductor manufacturing apparatus

Publications (2)

Publication Number Publication Date
TW201640555A TW201640555A (zh) 2016-11-16
TWI657478B true TWI657478B (zh) 2019-04-21

Family

ID=56620855

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139868A TWI657478B (zh) 2015-02-12 2015-11-30 半導體製造裝置

Country Status (5)

Country Link
US (1) US9963782B2 (zh)
JP (1) JP2016149526A (zh)
KR (1) KR102423789B1 (zh)
CN (1) CN105895553A (zh)
TW (1) TWI657478B (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP2019075517A (ja) * 2017-10-19 2019-05-16 東京エレクトロン株式会社 処理装置及び拡散路を有する部材
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR20190092154A (ko) * 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167380A (ja) * 2019-03-28 2020-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) * 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114686849B (zh) * 2020-12-31 2023-12-01 拓荆科技股份有限公司 制造半导体薄膜的装置和方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US20050098111A1 (en) * 2002-04-12 2005-05-12 Asm Japan K.K. Apparatus for single-wafer-processing type CVD
CN101809724A (zh) * 2007-09-29 2010-08-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
TW201341579A (zh) * 2012-02-09 2013-10-16 Tokyo Electron Ltd 成膜裝置
US20140331933A1 (en) * 2012-02-03 2014-11-13 Eugene Technology Co., Ltd. Apparatus for processing apparatus having side pumping type

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPH0334061U (zh) * 1989-08-09 1991-04-03
JPH0636409B2 (ja) * 1989-12-28 1994-05-11 大日本スクリーン製造株式会社 光照射型気相処理装置
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP2927211B2 (ja) * 1995-06-21 1999-07-28 国際電気株式会社 ウェーハ処理装置
JPH1055968A (ja) * 1996-08-08 1998-02-24 Nippon Asm Kk 半導体処理装置
JPH11158632A (ja) * 1997-07-22 1999-06-15 Ebara Corp 薄膜気相成長装置
US6402847B1 (en) * 1998-11-27 2002-06-11 Kabushiki Kaisha Toshiba Dry processing apparatus and dry processing method
JP2000223429A (ja) * 1998-11-27 2000-08-11 Toshiba Corp 成膜装置、成膜方法及びクリ―ニング方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6531069B1 (en) * 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
KR100531629B1 (ko) * 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP2003188162A (ja) * 2002-11-01 2003-07-04 Sharp Corp プラズマ処理装置
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
CN100449708C (zh) * 2004-05-27 2009-01-07 东京毅力科创株式会社 基板处理装置
FR2882064B1 (fr) * 2005-02-17 2007-05-11 Snecma Propulsion Solide Sa Procede de densification de substrats poreux minces par infiltration chimique en phase vapeur et dispositif de chargement de tels substrats
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
JP4885000B2 (ja) * 2007-02-13 2012-02-29 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP4472008B2 (ja) * 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP5086192B2 (ja) * 2008-07-01 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6085106B2 (ja) * 2012-06-26 2017-02-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP6056403B2 (ja) * 2012-11-15 2017-01-11 東京エレクトロン株式会社 成膜装置
KR101375742B1 (ko) * 2012-12-18 2014-03-19 주식회사 유진테크 기판처리장치
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
KR101552666B1 (ko) * 2013-12-26 2015-09-11 피에스케이 주식회사 기판 처리 장치 및 방법
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
JP2016081967A (ja) * 2014-10-10 2016-05-16 株式会社東芝 半導体製造装置および半導体製造方法
US9885112B2 (en) * 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
KR20160083715A (ko) * 2015-01-02 2016-07-12 삼성전자주식회사 가스 분사 유닛을 포함하는 반도체 공정 설비
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US20170051402A1 (en) * 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US20050098111A1 (en) * 2002-04-12 2005-05-12 Asm Japan K.K. Apparatus for single-wafer-processing type CVD
CN101809724A (zh) * 2007-09-29 2010-08-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20140331933A1 (en) * 2012-02-03 2014-11-13 Eugene Technology Co., Ltd. Apparatus for processing apparatus having side pumping type
TW201341579A (zh) * 2012-02-09 2013-10-16 Tokyo Electron Ltd 成膜裝置

Also Published As

Publication number Publication date
US9963782B2 (en) 2018-05-08
TW201640555A (zh) 2016-11-16
KR102423789B1 (ko) 2022-07-21
CN105895553A (zh) 2016-08-24
US20160237559A1 (en) 2016-08-18
KR20160099459A (ko) 2016-08-22
JP2016149526A (ja) 2016-08-18

Similar Documents

Publication Publication Date Title
TWI657478B (zh) 半導體製造裝置
KR102323167B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
TWI804472B (zh) 電漿屏、電漿處理腔室和處理基板的方法
JP6202701B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5875864B2 (ja) 統合型流量平衡器と改良されたコンダクタンスとを備える下部ライナ
TW202111839A (zh) 基板處理設備
JP5848140B2 (ja) プラズマ処理装置
KR101522835B1 (ko) 기판 공정 챔버내에서 이용하기 위한 가스 유동 이퀄라이저 판
TWI593317B (zh) 可調間隙電漿腔室內之雙重侷限與超高壓力用之方法
JP2017527984A (ja) より均一なエッジパージを有する基板支持体
KR20220044474A (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
TWI661462B (zh) Plasma processing device and gas supply member
US20100081284A1 (en) Methods and apparatus for improving flow uniformity in a process chamber
KR20060129543A (ko) 반도체 기판 처리 챔버에서 가스 유동을 제어하기 위한장치
KR20210143326A (ko) 플라즈마 처리 장치
WO2015085882A1 (zh) 下电极装置以及等离子体加工设备
KR20140049456A (ko) 플라즈마 처리 장치
KR102267391B1 (ko) 기판 프로세싱 챔버를 위한 격리기
JP6573498B2 (ja) プラズマ処理装置
TWI777288B (zh) 等離子體處理設備及其氣體擋板結構、等離子體處理方法
JP5669991B1 (ja) プラズマ処理装置
JP2004186404A (ja) プラズマ処理装置
TW202113912A (zh) 氣體調節裝置及應用該裝置的電漿蝕刻設備
JP2016096342A (ja) プラズマ処理装置
KR20200017252A (ko) 압력 조절링 및 이를 포함하는 플라즈마 처리 장치