JP2020167380A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2020167380A
JP2020167380A JP2020015968A JP2020015968A JP2020167380A JP 2020167380 A JP2020167380 A JP 2020167380A JP 2020015968 A JP2020015968 A JP 2020015968A JP 2020015968 A JP2020015968 A JP 2020015968A JP 2020167380 A JP2020167380 A JP 2020167380A
Authority
JP
Japan
Prior art keywords
susceptor
flow control
plate
control ring
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020015968A
Other languages
English (en)
Inventor
田中 宏治
Koji Tanaka
宏治 田中
祐樹 ▲高▼橋
祐樹 ▲高▼橋
Hiroki Takahashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2020167380A publication Critical patent/JP2020167380A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing

Abstract

【課題】本発明は、基板の一部に対してプラズマ処理を施す基板処理装置を提供することを目的とする。【解決手段】サセプタと、該サセプタを支持するシャフトと、該サセプタの側面との間に間隙を設けつつ該サセプタを囲むフローコントロールリングと、該フローコントロールリングの直上にある排気ダクトと、該サセプタの上方にあるプレートと、該サセプタ、該フローコントロールリング、該排気ダクト及び該プレートを囲むチャンバと、該シャフトを該チャンバにつなぎ、少なくとも一部が絶縁体である接続部と、を備えたことを特徴とする。【選択図】図1

Description

本発明は基板処理装置に関する。
容量結合プラズマ(Capacitively Coupled Plasma(CCP))はプラズマ処理で広く用いられている。
米国特許第8262923号明細書
しかし、装置内で寄生容量が発生し、意図しないところに電圧がかかり得る。そのような意図しない電圧の印加は電力損失の原因となる。例えばベベルの周囲以外の部分に強い電界が生じると、プラズマの均一性が悪くなったり、ベベルのエッチレートが低下したりする。
本発明は、上述のような課題を解決するためになされたもので、基板の一部に対してプラズマ処理を施す基板処理装置を提供することを目的とする。
本願の発明に係る基板処理装置は、サセプタと、該サセプタを支持するシャフトと、該サセプタの側面との間に間隙を設けつつ該サセプタを囲むフローコントロールリングと、該フローコントロールリングの直上にある排気ダクトと、該サセプタの上方にあるプレートと、該サセプタ、該フローコントロールリング、該排気ダクト及び該プレートを囲むチャンバと、該シャフトを該チャンバにつなぎ、少なくとも一部が絶縁体である接続部と、を備えたことを特徴とする。
本発明のその他の特徴は以下に明らかにする。
本発明によれば、基板の一部に対してプラズマ処理を施すことができる。
基板処理装置の構成例を示す図である。 包囲部の拡大図である。 図3Aは電気接続の例を示す回路図である。図3Bは電気接続の別の例を示す回路図である。 電磁界シミュレーション結果を示す図である。 別の電磁界シミュレーション結果を示す図である。 別の例に係る基板処理装置の断面図である。 さらに別の例に係る基板処理装置の断面図である。
基板処理装置について図面を参照して説明する。同じ又は対応する構成要素には同じ符号を付し、説明の繰り返しを省略する場合がある。
実施の形態.
図1は、基板処理装置10の構成例を示す図である。この基板処理装置10は基板のベベル処理装置として提供し得る。ベベル処理は、ベベルのエッチング、ベベルの成膜、ベベルの膜の改質を含む。この基板処理装置10は、接地電極として機能するチャンバ12を備えている。チャンバ12の材料は金属である。チャンバ12の中で、処理対象となる基板はサセプタ14にのせられる。サセプタ14は、基板よりも小さい形状を有することで、ベベルがサセプタ14から突出する。つまりベベルの全体が露出する。サセプタ14の材料は例えばAl又はTiである。
サセプタ14はシャフト16によって支持されている。一例によれば、シャフト16につながりシャフト16よりも幅が大きい幅広部18が提供される。幅広部18はチャンバ12の外に位置させ得る。チャンバ12のうちシャフト16を囲む部分は包囲部12aという。包囲部12aと幅広部18との間にベローズ20が設けられている。このベローズ20が外部からの力で伸縮することで、サセプタ14を昇降させ得る。
図2は、包囲部12aとその近傍の拡大図である。ベローズ20はチャンバ12内の真空を保つ。
幅広部18とベローズ20は、シャフト16をチャンバ12につなぐ接続部として機能する。例えば、この接続部の少なくとも一部を絶縁体とすることができる。一例によれば幅広部18を絶縁体とすることができる。別の例によればベローズ20を絶縁体とすることができる。そのような絶縁体の材料は、誘電率が10未満の低誘電率材料とすることができる。例えば絶縁体は、石英、アルミナ又はフッ素含有樹脂である。幅広部18とベローズ20は接続部の一例である。別の例では、サセプタ14を昇降可能としつつ、シャフト16をチャンバ12につなぐ、任意の構成の接続部を提供し得る。
図3A、図3Bは、チャンバ12とシャフト16の間の電気的接続態様の一例を示す回路図である。包囲部12aとシャフト16を離すことでキャパシタC1が生じる。シャフト16とチャンバ12を接続部でつなぐことで接触抵抗などに起因する第1抵抗R1が生じる。図3AはキャパシタC1と第1抵抗R1を含む回路図である。図3Bは接続部の少なくとも一部が絶縁体である場合の回路図である。
このように、包囲部12aとシャフト16を離し、接続部の少なくとも一部を絶縁体にすることで、サセプタ14をフローティングとすることができる。いいかえれば、サセプタ14とチャンバ12の間のインピーダンスを十分高くすることで、サセプタ14はチャンバ12と電気的に接しない。
図1の構成の説明に戻る。サセプタ14の横にはフローコントロールリング(FCR)30が設けられている。FCR30は、サセプタ14の側面との間に間隙を設けつつサセプタ14を囲む。FCR30は例えばAl又はTiなどの金属とすることができる。一例によれば、FCR30の下面がチャンバ12に接することで、FCR30は接地させる。
FCR30の直上には排気ダクト32がある。排気ダクト32は、FCR30と同様、平面視で環状に形成され得る。排気ダクト32は、プロセスに用いられたガスをチャンバ12の外部に排気する流路を提供する。排気ダクト32の材料は例えばセラミック又はアルミナとし得る。
排気ダクト32の上には外側プレート40が乗せられている。外側プレート40の上には内側プレート42が乗せられている。一例によれば、外側プレート40は内側プレート42を囲みFCR30の直上にある。一例によれば、内側プレート42はサセプタ14の直上にある。内側プレート42の中央には貫通穴を設けることができる。外側プレート40と内側プレート42をまとめてプレートということがある。
外側プレート40と内側プレート42が1つのプレートを構成している。これらは分離可能としてもよいし、一体不可分としてよい。例えば、内側プレート42は絶縁体であり、外側プレート40は金属である。内側プレート42は低誘電率材料とすることができる。低誘電率材料とは、例えば石英、アルミナ又はフッ素含有樹脂である。外側プレート40は高周波を印加する電極とすることができる。
チャンバ12は、サセプタ14、FCR30、排気ダクト32、外側プレート40及び内側プレート42を囲む。チャンバ12の外部にはガス源50、52が提供されている。一例によれば、ガス源50は内側プレート42の貫通孔に不活性ガスを供給することで、内側プレート42とサセプタ14の間に平面視で放射状のガス流を生じさせる。このガス流は内側プレート42とサセプタ14の間に有意なプラズマが生じることを抑制する。また、ガス源52は、サセプタ14とFCR30の間に下側から反応ガスを供給する。反応ガスの供給によって、基板のベベル近傍のエッチングを可能とする。
このようなガス流は一例である。別の例によれば、ベベル近傍にプラズマの生成を可能とするガスを供給し得るあらゆるガス源とガス流を採用し得る。したがって、ガスは基板の上側から提供してもよいし、基板の下側から提供してもよい。
図4は、サセプタをフローティングとしたモデルにおける電磁界シミュレーション結果を示す図である。赤い部分で電界強度が高く、青い部分は電界強度が低い。このシミュレーションでは基板処理装置に基板を設けるモデルを採用した。外側プレート40に高周波電力を印加すると、外側プレート40とFCR30のあいだの空間における電界強度を高めることができる。他方、サセプタ14をフローティングとしたので、サセプタ14へのRFロスが減り、サセプタ14と内側プレート42の間の電界強度を抑制できる。内側プレート42を低誘電率材料としたことも、サセプタ14と内側プレート42の間の電界強度の抑制に貢献する。プレートから、サセプタ14、シャフト及び接続部を経由して、チャンバ12に至る経路の合成インピーダンスを500Ω以上とすることは、異常放電の抑制に貢献する。
図5は、図4のモデルを基本としつつ、内側プレート42を金属とし、サセプタ14を接地された金属とした場合における電磁界シミュレーション結果を示す図である。この場合、内側プレート42とサセプタ14の間に強い電界が発生しているので、異常放電が懸念される。
このように、プラズマの生成を意図しない部分においてインピーダンスを高めるハード構成を採用することで、電界強度を緩和し、プラズマを生成したいエリアに効率良くRFを給電する。電界強度の緩和の方法としては、低誘電率材料を用いることと、該当部分をフローティング電位とすることを挙げた。図1−3の構成は例示であり、図1−3とは異なる構成の基板処理装置についても、同様の考え方で、異常放電を抑制し安定した放電を得る事ができる。
図6は別の例に係る基板処理装置の断面図である。この例では、サセプタ14をフローティングにするために、包囲部12aを絶縁体で構成した。包囲部12aは例えば石英、アルミナ又はフッ素含有樹脂である。この場合、包囲部12aは金属のチャンバ12とは区別される。包囲部12aを低誘電率材料とすることは、金属のチャンバ12とシャフト16の電気距離を増大させるとともに、金属のチャンバ12とシャフト16を電気的に絶縁することを可能とする。よって、サセプタ14を経由してチャンバ12に至る経路のインピーダンスをさらに高めることができる。
図7は、さらに別の例に係る基板処理装置の断面図である。FCR30は、チャンバ12に接する金属部分30aと、排気ダクト32の直下にある絶縁体部分30bと、を有する。一例によれば、FCR30の上面には金属部分30aと絶縁体部分30bが露出し、FCR30の下面には金属部分30aだけが露出する。FCR30の上面は、排気ダクト32に向かうガス流を妨げないように、平面とし得る。例えば、絶縁体部分30bは石英、アルミナ又はフッ素含有樹脂である。
排気ダクト32は絶縁体である。排気ダクト32の材料は例えば石英、アルミナ又はフッ素含有樹脂である。
外側プレート40とFCR30を低いインピーダンスで結合することで、この経路に効率的に高周波エネルギが提供される。しかし、FCR30と排気ダクト32の間に高い電界が生じるとこの部分に濃度の高いプラズマが生じてしまう。そこで、上述のとおり、FCR30に絶縁体部分30bを設けることで、外側プレート40とFCR30を低いインピーダンスで結合しつつ、排気ダクト32とFCR30のインピーダンスを高めることができる。これにより、排気ダクト32の直下における放電を抑制し得る。
プレートがサセプタ14とFCR30の上方にある場合、以下のインピーダンスを定義することができる。
(1)プレートとサセプタ14をとおる経路のインピーダンスである第1インピーダンス
(2)プレートとFCR30をとおる経路のインピーダンスである第2インピーダンス
(3)排気ダクト32をとおる経路のインピーダンスである第3インピーダンス
一例によれば、第1〜第3インピーダンスのうち第2インピーダンスを最小にし得る。これにより、外側プレート40とFCR30の間に局所的なプラズマを生じさせて基板のベベルにプラズマ処理を施すことができる。
例えば、内側プレート42とサセプタ14の間の距離をd、内側プレート42とサセプタ14が対向する面積をS、内側プレート42とサセプタ14の間にある物質の誘電率をε、外側プレート40に印加するプラズマ励起周波数をfとしたときの第1インピーダンスd/2πfεを50Ωより大きくすることができる。これを実現するためには、例えば内側プレート42として石英などを採用したり、d1、S1を調整したりする。なお、fは13.56MHzであり、εを空気の誘電率であるとした場合にはd/Sを0.3777より大きくする。
例えば、排気ダクト32とFCR30の間の距離をd、排気ダクト32とFCR30が対向する面積をS、排気ダクト32とFCR30の間にある物質の誘電率をε、外側プレート40に印加するプラズマ励起周波数をfとしたときの第3インピーダンスd/2πfεを50Ωより大きくすることができる。これを実現するためには、例えば排気ダクト32として石英を採用したり、d、Sを調整したり、図7の絶縁体部分30bとして石英を採用したりする。なお、fは13.56MHzであり、εを空気の誘電率であるとした場合にはd/Sを0.3777より大きくする。排気ダクト32とチャンバ12をとおる経路のインピーダンスである別の第3インピーダンスは、50Ωより大きくすることができる。
別の例によれば、d/2πfεは500Ωより大きくし、d/2πfεを500Ωより大きくし、別の第3インピーダンスを500Ωより大きくすることができる。他の例では他の数値とし得る。
このように、第1インピーダンスと第3インピーダンスを高い値としつつ、第2インピーダンスは例えば50Ω未満とすることで、外側プレート40とFCR30の間に十分なプラズマを生成しうる。異常放電の懸念がある場所は装置構成によって変わる。よって、ベベルが位置する空間でインピーダンスを小さくし、それ以外の場所でインピーダンスを高くする任意の構成を採用し得る。
12 チャンバ、 12a 包囲部、 14 サセプタ、 16 シャフト、 18 幅広部、 20 ベローズ、 30 FCR、 32 排気ダクト、 40 外側プレート、 42 内側プレート、 50,52 ガス源

Claims (13)

  1. サセプタと、
    前記サセプタを支持するシャフトと、
    前記サセプタの側面との間に間隙を設けつつ前記サセプタを囲むフローコントロールリングと、
    前記フローコントロールリングの直上にある排気ダクトと、
    前記サセプタの上方にあるプレートと、
    前記サセプタ、前記フローコントロールリング、前記排気ダクト及び前記プレートを囲むチャンバと、
    前記シャフトを前記チャンバにつなぎ、少なくとも一部が絶縁体である接続部と、を備えたことを特徴とする基板処理装置。
  2. 前記接続部は、前記シャフトにつながり前記シャフトよりも幅が大きく前記チャンバの外に位置する幅広部と、前記チャンバのうち前記シャフトを囲む部分である包囲部と前記幅広部との間に設けられたベローズと、を有することを特徴とする請求項1に記載の基板処理装置。
  3. 前記絶縁体は、石英、アルミナ又はフッ素含有樹脂であることを特徴とする請求項1又は2に記載の基板処理装置。
  4. 前記プレートは、前記サセプタの直上にある内側プレートと、前記内側プレートを囲み前記フローコントロールリングの直上にある外側プレートと、を有し、
    前記内側プレートは絶縁体であり、前記外側プレートは金属であることを特徴とする請求項1から3のいずれか1項に記載の基板処理装置。
  5. 前記プレートから、前記サセプタ、前記シャフト及び前記接続部を経由して、前記チャンバに至る経路の合成インピーダンスを500Ω以上としたことを特徴とする請求項1から4のいずれか1項に記載の基板処理装置。
  6. 前記絶縁体は、前記シャフトを囲み、前記チャンバと前記シャフトの間に位置することを特徴とする請求項1に記載の基板処理装置。
  7. サセプタと、
    前記サセプタの側面との間に間隙を設けつつ前記サセプタを囲む、フローコントロールリングと、
    前記フローコントロールリングの直上にある排気ダクトと、
    前記サセプタの上方にあるプレートと、
    前記サセプタ、前記フローコントロールリング、前記排気ダクト及び前記プレートを囲むチャンバと、を備え、
    前記フローコントロールリングは、前記チャンバに接する金属部分と、前記排気ダクトの直下にある絶縁体部分と、を有することを特徴とする基板処理装置。
  8. 前記フローコントロールリングの上面には前記金属部分と前記絶縁体部分が露出し、前記フローコントロールリングの下面には前記金属部分だけが露出したこと特徴とする請求項7に記載の基板処理装置。
  9. 前記排気ダクトは絶縁体であることを特徴とする請求項7又は8に記載の基板処理装置。
  10. 前記絶縁体部分は石英、アルミナ又はフッ素含有樹脂であることを特徴とする請求項7から9のいずれか1項に記載の基板処理装置。
  11. サセプタと、
    前記サセプタの側面との間に間隙を設けつつ前記サセプタを囲むフローコントロールリングと、
    前記フローコントロールリングの直上にある排気ダクトと、
    前記サセプタと前記フローコントロールリングの上方にあるプレートと、
    前記サセプタ、前記フローコントロールリング、前記排気ダクト及び前記プレートを囲むチャンバと、を備え、
    前記プレートと前記サセプタをとおる経路のインピーダンスである第1インピーダンス、前記プレートと前記フローコントロールリングをとおる経路のインピーダンスである第2インピーダンス、前記排気ダクトと前記フローコントロールリングをとおる経路のインピーダンスである第3インピーダンスのうち、前記第2インピーダンスを最小にしたことを特徴とする基板処理装置。
  12. 前記プレートと前記サセプタの間の距離をd、前記プレートと前記サセプタが対向する面積をS、前記プレートと前記サセプタの間にある物質の誘電率をε、前記プレートに印加するプラズマ励起周波数をfとしたときのd/2πfεを50Ωより大きくし、
    前記排気ダクトと前記フローコントロールリングの間の距離をd、前記排気ダクトと前記フローコントロールリングが対向する面積をS、前記排気ダクトと前記フローコントロールリングの間にある物質の誘電率をε、前記プレートに印加するプラズマ励起周波数をfとしたときのd/2πfεを50Ωより大きくしたことを特徴とする請求項11に記載の基板処理装置。
  13. 前記d/2πfεは500Ωより大きくし、前記d/2πfεを500Ωより大きくしたことを特徴とする請求項12に記載の基板処理装置。
JP2020015968A 2019-03-28 2020-02-03 基板処理装置 Pending JP2020167380A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962825441P 2019-03-28 2019-03-28
US62/825441 2019-03-28

Publications (1)

Publication Number Publication Date
JP2020167380A true JP2020167380A (ja) 2020-10-08

Family

ID=72604839

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020015968A Pending JP2020167380A (ja) 2019-03-28 2020-02-03 基板処理装置

Country Status (4)

Country Link
US (1) US20200312681A1 (ja)
JP (1) JP2020167380A (ja)
KR (1) KR20200116020A (ja)
CN (1) CN111755313A (ja)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
KR20220033761A (ko) 2020-09-10 2022-03-17 주식회사 엘지에너지솔루션 전극 건조 장치 및 전극 건조 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07135180A (ja) * 1993-11-11 1995-05-23 Alps Electric Co Ltd 基板表面電位測定方法及びプラズマ装置
JPH10237658A (ja) * 1997-02-26 1998-09-08 Furontetsuku:Kk サセプタ取付方法、サセプタ固定用プレート、及び真空処理装置
JPH11260810A (ja) * 1998-03-06 1999-09-24 Kokusai Electric Co Ltd 基板処理方法および基板処理装置
JP2002056999A (ja) * 2000-08-11 2002-02-22 Alps Electric Co Ltd プラズマ処理装置およびプラズマ処理装置の性能確認システム
JP2002100622A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002100500A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP2002110661A (ja) * 2000-09-27 2002-04-12 Alps Electric Co Ltd プラズマ処理装置又はプラズマ処理システムの性能評価方法、性能管理システム、及び性能確認システム
JP2002246371A (ja) * 2001-02-16 2002-08-30 Tokyo Electron Ltd 分割可能な電極及びこの電極を用いたプラズマ処理装置ならびに電極交換方法
JP2003017414A (ja) * 2001-06-29 2003-01-17 Alps Electric Co Ltd プラズマ処理装置およびプラズマ処理システム
JP2003188145A (ja) * 2001-12-21 2003-07-04 Tokyo Electron Ltd プラズマ処理装置
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置
JP2006222468A (ja) * 2002-03-26 2006-08-24 Tokyo Electron Ltd 基板処理装置、基板処理方法、クリーニング方法
WO2009041283A1 (ja) * 2007-09-28 2009-04-02 Tokyo Electron Limited ガス供給装置
JP2010517296A (ja) * 2007-01-26 2010-05-20 ラム リサーチ コーポレーション 構成自在ベベルエッチャ
JP2016149526A (ja) * 2015-02-12 2016-08-18 エーエスエム アイピー ホールディング ビー.ブイ. 半導体製造装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296553A (ja) * 2003-03-25 2004-10-21 Ngk Insulators Ltd 半導体製造装置用部材
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07135180A (ja) * 1993-11-11 1995-05-23 Alps Electric Co Ltd 基板表面電位測定方法及びプラズマ装置
JPH10237658A (ja) * 1997-02-26 1998-09-08 Furontetsuku:Kk サセプタ取付方法、サセプタ固定用プレート、及び真空処理装置
JPH11260810A (ja) * 1998-03-06 1999-09-24 Kokusai Electric Co Ltd 基板処理方法および基板処理装置
JP2002056999A (ja) * 2000-08-11 2002-02-22 Alps Electric Co Ltd プラズマ処理装置およびプラズマ処理装置の性能確認システム
JP2002100622A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置の性能評価方法、保守方法、及び性能管理システム、並びにプラズマ処理装置及びプラズマ処理装置の性能確認システム
JP2002100500A (ja) * 2000-09-22 2002-04-05 Alps Electric Co Ltd プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP2002110661A (ja) * 2000-09-27 2002-04-12 Alps Electric Co Ltd プラズマ処理装置又はプラズマ処理システムの性能評価方法、性能管理システム、及び性能確認システム
JP2002246371A (ja) * 2001-02-16 2002-08-30 Tokyo Electron Ltd 分割可能な電極及びこの電極を用いたプラズマ処理装置ならびに電極交換方法
JP2003017414A (ja) * 2001-06-29 2003-01-17 Alps Electric Co Ltd プラズマ処理装置およびプラズマ処理システム
JP2003188145A (ja) * 2001-12-21 2003-07-04 Tokyo Electron Ltd プラズマ処理装置
JP2006222468A (ja) * 2002-03-26 2006-08-24 Tokyo Electron Ltd 基板処理装置、基板処理方法、クリーニング方法
JP2005056994A (ja) * 2003-08-01 2005-03-03 Saginomiya Seisakusho Inc プラズマ処理装置
JP2010517296A (ja) * 2007-01-26 2010-05-20 ラム リサーチ コーポレーション 構成自在ベベルエッチャ
WO2009041283A1 (ja) * 2007-09-28 2009-04-02 Tokyo Electron Limited ガス供給装置
JP2016149526A (ja) * 2015-02-12 2016-08-18 エーエスエム アイピー ホールディング ビー.ブイ. 半導体製造装置

Also Published As

Publication number Publication date
KR20200116020A (ko) 2020-10-08
CN111755313A (zh) 2020-10-09
US20200312681A1 (en) 2020-10-01

Similar Documents

Publication Publication Date Title
JP2020167380A (ja) 基板処理装置
TWI523099B (zh) 電漿處理裝置及半導體裝置之製造方法
JP5518174B2 (ja) プラズマを生成する方法又はプラズマチャンバの操作方法
KR100900595B1 (ko) 플라즈마 한정 및 유동 컨덕턴스 강화 방법 및 장치
KR100652983B1 (ko) 플라즈마 처리 장치 및 방법
JP4699127B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20150243486A1 (en) Plasma processing apparatus
WO2013078434A1 (en) Plasma processing chamber with flexible symmetric rf return strap
KR20050086830A (ko) 플라즈마 처리 장치 및 방법 그리고 플라즈마 생성용전극판
WO2000068985A1 (fr) Appareil de traitement au plasma
JP2011082180A (ja) プラズマ処理装置及びプラズマ処理方法
JP4047616B2 (ja) プラズマ処理装置及びプラズマ処理方法
TW201946150A (zh) 可調節邊緣射頻等離子體分佈的ccp刻蝕裝置及其方法
CN106548914A (zh) 一种等离子体处理设备及其清洗系统和方法
TW202031099A (zh) 電容耦合電漿蝕刻設備
JP4137419B2 (ja) プラズマ処理装置
TWI582842B (zh) Plasma processing device
WO2002065533A1 (fr) Appareil a plasma et procede de fabrication
JP6785377B2 (ja) プラズマ処理装置
TWI771770B (zh) 防止約束環發生電弧損傷的等離子體處理器和方法
JPH1064883A (ja) プラズマ装置
CN212062403U (zh) 一种等离子体刻蚀反应器
JPH09306896A (ja) プラズマ処理装置およびプラズマ処理方法
KR20210120291A (ko) 포커스 링 및 이를 구비하는 기판 고정용 척 어셈블리와 플라즈마 처리장치
JP7117734B2 (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210212

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240305