TWI646161B - 用於阻絕物化學機械平坦化的添加物 - Google Patents

用於阻絕物化學機械平坦化的添加物 Download PDF

Info

Publication number
TWI646161B
TWI646161B TW106122106A TW106122106A TWI646161B TW I646161 B TWI646161 B TW I646161B TW 106122106 A TW106122106 A TW 106122106A TW 106122106 A TW106122106 A TW 106122106A TW I646161 B TWI646161 B TW I646161B
Authority
TW
Taiwan
Prior art keywords
acid
group
polishing
composition
abrasive
Prior art date
Application number
TW106122106A
Other languages
English (en)
Other versions
TW201802204A (zh
Inventor
梅特蘭 蓋瑞 格拉罕
馬帝亞斯 史坦德
迪昂奈許 向魯康德 坦波利
曉波 史
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201802204A publication Critical patent/TW201802204A/zh
Application granted granted Critical
Publication of TWI646161B publication Critical patent/TWI646161B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • C09G1/14Other polishing compositions based on non-waxy substances
    • C09G1/16Other polishing compositions based on non-waxy substances on natural or synthetic resins
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明提供一種包括合適的化學添加物之阻絕物化學機械平坦化研磨組成物。該合適的化學添加物有矽酸鹽化合物及高分子量聚合物/共聚物。亦提供一種使用該阻絕物化學機械平坦化研磨組成物之化學機械研磨方法。

Description

用於阻絕物化學機械平坦化的添加物 相關申請案的交互參照
本申請案在35 U.S.C.§119(e)下主張2016年7月1日提出的美國臨時性專利申請案案號62/357,571之優先權,其全文以參考之方式併入本文。
本發明關於製造半導體裝置時使用的阻絕物的化學機械平坦化(“CMP”)拋光組合物(或漿料,拋光組合物及拋光漿料可交換使用),及用於進行化學機械平坦化的拋光方法。特別是,其關於適用於拋光圖案化半導體晶圓的阻絕物拋光組合物,該等晶圓係由多類型膜,例如阻絕物、低k或超低k、介電質及金屬線、通孔或溝槽所構成。
通常,阻絕層覆蓋該圖案化介電層而且金屬層覆蓋該阻絕層。該金屬層至少具有足夠厚度以便藉著金屬填滿該等圖案化溝槽而形成電路互連件。
阻絕物典型為金屬、金屬合金或金屬間化合物,例如鉭或氮化鉭。該阻絕物形成能防止晶圓內的層間遷移或擴散的層。舉例來說,阻絕物防止互連件金屬例如銅或銀擴散至鄰近的介電質中。阻絕材料必須能耐大部分酸的腐蝕,進而在供CMP用的流體拋光組合物中的阻劑溶解。再者,再者,這些阻絕材料可能顯現抵抗CMP漿料的研磨粒子和固定研磨墊之磨耗移除作用的韌性。
關於CMP,此技藝的現況涉及使用多步驟,例如兩步驟,製程達成局部和整體平坦化。
在CMP製程的步驟1期間,移除了金屬層例如過載的銅,同時在帶有金屬填充線、導孔和溝槽的晶圓上留下平滑平面表面,該等金屬填充線、導孔和溝槽提供與被拋光表面呈平面的電路互連件。第一步拋光步驟傾向於移除過量的互連件金屬,例如銅。接著該CMP製程的步驟2,經常被稱作阻絕物CMP製程,緊接著移除該阻絕層及過量的金屬層和該等圖案化晶圓表面上的其他膜以同時達成該介電層上的局部和整體平坦化表面。
美國專利公開案US2007/0082456A揭示一種拋光組合物,其能高速拋光同時蝕刻並且防止侵蝕並且保持金屬膜的平坦度。該拋光組合物包含(A)具有三或更多唑部分的化合物、(B)氧化劑及(C)選自胺基酸、有機酸和無機酸中的一或更多物種。
美國專利公開案US2007/0181534教導一種阻絕物拋光液,其包括(a)下式所示的非離子型表面活性劑、(b)選 自由芳香族磺酸、芳香族羧酸及其衍生物所組成的群組中之至少一類型的有機酸、(c)膠態氧化矽及(d)苯并三唑或其衍生物。
在該式中,R1至R6獨立地表示氫原子或具有1至10個碳的烷基,X和Y獨立地表示伸乙氧基或伸丙氧基,而且m和n獨立地表示0至20的整數。也有提供一種化學機械拋光方法,其包括於每單位面積半導體基材每單位時間0.035至0.25mL/(mincm2)的速率下將該阻絕物拋光液供給拋光平台上的拋光墊,及藉由使該拋光墊和被拋光的表面相對於彼此移動同時使其處於接觸狀態來拋光。
美國專利公開案US2008/0149884 A1描述一種用於半導體晶圓上的金屬基材之化學機械平坦化(CMP)的組合物及相關方法。該組合物含有非離子型氟碳化合物。表面活性劑及過氧型(per-type)氧化劑(例如過氧化氫)。該組合物及相關方法能有效控制銅CMP期間的低-k膜移除速率並且提供低-k膜移除速率相對於銅、鉭和氧化物膜移除速率的可調整性。
美國專利公開案US2013/0168348 A1揭示一種含水的拋光組合物,其包含(A)至少一類型的研磨粒子,當分散於不含組分(B)的含水介質時該研磨粒子帶正電並且如電泳移 動率所證實的具有介於3至9的pH;(B)選自由線性和分支環氧烷均聚物和共聚物所組成的群組中之至少一水溶性聚合物;及(C)至少一陰離子型磷酸鹽分散劑;及一種使用該含水拋光組合物拋光供電氣、機械和光學裝置用的基材材料之方法。
美國專利公開案US2009/0004863 A1揭示一種用於拋光含釕阻絕層之拋光液,該拋光液係用於具有含釕阻絕層和其表面上的導電金屬佈線的半導體裝置之化學機械拋光,該拋光液包含氧化劑;及拋光微粒,其莫氏硬度標(Mohs scale)的硬度為5或更高並且具有非二氧化矽(SiO2)的主要組分的組成。本發明也提供一種用於化學機械拋光半導體裝置之拋光方法,該方法使該拋光液與被拋光的基材表面接觸,及拋光被拋光的表面以致於來自拋光墊對被拋光表面的接觸壓力係0.69kPa至20.68kPa。
US2013/0171824 A1揭示一種用於含有氧化矽介電膜及多晶矽及/或氮化矽膜的基材之CMP方法,其包含以下的步驟:(1)使該基材與含水組合物接觸,該含水組合物含有(A)當分散於pH介於3至9的含水介質時帶正電的研磨粒子;(B)水溶性或水分散性線性或分支環氧烷均聚物或共聚物;及(C)水溶性或水分散性聚合物,其係選自(c1)脂肪族和環脂族聚(N-乙烯基醯胺)均聚物和共聚物、(c2)以下通式I和II的丙烯醯胺均聚物和共聚物:H2C=C(-R)-C(=0)-N(-R1)(-R2)(I),H2C=C(-R)-C(=0)-R3(II),其中該等變數具有以下意義R氫原子、氟原子、氯原子、腈基或有機殘基;R1和R2氫原子或有 機殘基;R3飽和N-雜環族環;(c3)陽離子型聚合性絮凝劑;及(c4)其混合物;(2)拋光該基材直到該氧化矽介電膜被移除而且該多晶矽及/或氮化矽膜露出為止。
美國專利案號8,597,539主張使用黏度改質劑,但是其未提供任何特定實施例也無解釋其功能。美國專利申請案公告案號2008/0148649揭示出在釕阻絕物CMP組成物中,於大量其它聚合物當中使用聚(1-乙烯吡咯啶酮-共-甲基丙烯酸2-二甲基胺基乙酯)作為界面活性劑來減低低k膜之移除速率。國際公告案號WO 2002/094957揭示出在金屬CMP組成物中使用聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸),其中該共聚物的一部分係黏結至金屬表面及其它端係吸引至研磨墊,因此增加金屬膜的移除速率。
Grover等人(Grover,G.S.等人之”Effect of slurry viscosity modification on oxide and tungsten CMP.”,Wear 214.1(1998):10-13.)描述出一種含有增加黏度的添加物之CMP漿體。他們觀察到漿體黏度增加時,在氧化矽膜上的移除速率減低。
美國專利案號6,530,968及7,736,405描述出使用聚合物添加物來增加黏度及減低在銅CMP應用中之金屬線碟化。但是,使用增稠添加物似乎會減低移除速率。
美國專利申請案公告案號2008/0135520揭示出用以研磨氧化矽或玻璃基材的CMP漿體,其包含低分子量聚合物(MW<15,000道耳吞(Daltons))及一矽酸鹽寡聚物。
典型來說,大部分的阻絕物CMP組成物(或漿體) 會使用磨料。在施加壓力下,具有不同粒子尺寸及形狀之磨料會在研磨墊與晶圓表面間提供機械摩擦力。當使用磨料時,特別是具有高濃度時可發生磨料損傷(刮傷)。高磨料粒子濃度亦會在研磨過程期間於晶圓表面上產生更多殘留缺陷。磨料粒子亦係CMP組成物最昂貴的組分之一。因此,想要在該CMP組成物中產生高移除速率,同時使用儘可能些微的磨料粒子濃度。
該阻絕物組成物需要滿足一些嚴厲的需求,包括高阻絕物移除速率、非常低的研磨後表面形貌、無腐蝕缺陷及非常低的刮傷或殘留缺陷。因此,當半導體工業持續朝向越來越小的構形尺寸移動時,對滿足這些嚴厲需求之阻絕物CMP組成物及方法有明顯需求。
於本文中描述出一種阻絕物CMP研磨組成物及用於該CMP加工的方法。在一個態樣中,本發明提供一種研磨組成物,其包含:水;一磨料;一選自於由下列所組成之群的聚合物:聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚-(1-乙烯基吡咯啶酮-共-甲基丙烯酸2-二甲基胺基乙酯)、聚(4-苯乙烯磺酸鈉)、聚(環氧乙烷)、聚(4-苯乙烯磺酸)、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物、及其組合、及其鹽,及其中該聚合物具有分子量30,000至30,000,000道耳吞;一腐蝕抑制劑;一無機矽酸鹽;一氧化 劑;及選擇性,一界面活性劑;一pH調節劑;一螯合劑,其中該研磨組成物具有pH約9至約11.5,及其中該研磨組成物之黏度係約1.5cP至約10cP。
在另一個態樣中,本發明提供一種用於包含至少一個表面的半導體元件之化學機械平坦化的研磨方法,其中該至少一個表面具有(1)一選自於由下列所組成之群的阻絕層:鉭、氮化鉭、碳化鉭鎢矽、鈦、氮化鈦;(2)一選自於下列之群的互連金屬層:銅、鎢、鈷、鋁、釕或其合金;及(3)一多孔或無孔介電質層,該方法其步驟包括:a.讓該至少一個表面與一研磨墊接觸;b.將如於本文所描述的研磨組成物傳遞至該至少一個表面;及c.使用該研磨組成物研磨該至少一個表面以至少部分移除較佳為在該介電質層上之至少該阻絕層。
在更另一個態樣中,本發明提供一種用於化學機械平坦化的系統,其包括:一包含至少一個表面的半導體元件,其中該至少一個表面具有(1)一選自於由下列所組成之群的阻絕層:鉭、氮化鉭、碳化鉭鎢矽、鈦、氮化鈦;(2)一選自於下列之群的互連金屬層:銅、鎢、鈷、鋁或其合金;及(3)一多孔或無孔介電質層;一研磨墊;及如於本文中所描述的研磨組成物,其中該至少一個表面係與該研磨墊及該研磨組成物接觸。
於本文中描述及揭示出一種用於研磨的阻絕物 CMP組成物、系統及方法。於本文中所揭示的組成物可相對於介電質層移除速率來推升阻絕膜移除速率、降低磨料濃度及減少在CMP加工期間的缺陷。該阻絕物CMP組成物係使用來化學機械平坦化一上面具有至少一個構形的半導體基材表面,其中該構形包含傳導金屬線、通道或溝槽、含金屬阻絕層及介電質層。
該傳導金屬線可包含例如銅、鈷、鎢、鋁或其合金。該用於互連的阻絕物或襯墊層可係含鉭(Ta)阻絕層,諸如鉭或氮化鉭或碳化鉭鎢矽;含鈦(Ti)阻絕層,諸如鈦或氮化鈦;鈷或自形成的氧化錳層;及其它貴金屬,諸如釕。該介電質層可係TEOS;包含矽、碳、氮、氧及氫之多孔或無孔低k膜;含有無孔材料覆蓋層之多孔低k材料。
本發明的組成物允許在非常高速率下研磨阻絕物/襯墊膜及介電膜而具有低缺陷且不需要實質上較高的磨料粒子濃度。
本發明的研磨組成物包含:水;一磨料;一選自於由下列所組成之群的聚合物:聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚-(1-乙烯基吡咯啶酮-共-甲基丙烯酸2-二甲基胺基乙酯)、聚(4-苯乙烯磺酸鈉)、聚(環氧乙烷)、聚(4-苯乙烯磺酸)、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物、及其組合、及其鹽,及其中該聚合物具有分子量30,000至30,000,000道耳吞;一腐蝕抑制劑;一無機矽酸鹽;一氧化劑;及選擇性,一界面活性劑;一pH調節劑;一螯合 劑,其中該研磨組成物具有pH約9至約11.5,及其中該研磨組成物的黏度係約1.5cP至約10cP。較佳的聚合物在該漿體之pH下具有陰離子或非離子本質。
本發明之組成物在全部的CMP墊上皆提供移除速率改良。但是,該移除速率的增加特別在具有較高硬度之CMP墊上更有效。該CMP墊的硬度典型使用由ASTM D2240決定之Shore D硬度報導。本發明的CMP調配物特別相當適合於具有Shore硬度大於30之CMP墊,或更佳為大於40。此CMP墊之實施例包括來自Dow Chemicals的VisionpadTM 3500、3100、5000、5200、6000。
本發明的研磨組成物具水性基底,因此,包含水。在本發明中,水以多種方式作用,諸如例如,溶解一或多種固體組分組成物、作為該等組分的載劑、作為移除研磨殘餘物的助劑及作為稀釋劑。較佳的是,在清潔組成物中所使用的水係去離子化(DI)水。
咸信對大部分應用來說,該水將包含例如約10至約90重量%的水。本發明的其它較佳具體實例可包含約30至約95重量%水。本發明的更其它較佳具體實例可包含約50至約90重量%水。本發明的又其它較佳具體實例可包括能達成其它成份之想要的重量百分比之水量。
磨料
本發明的研磨組成物包含一磨料。合適於該研磨組成物的磨料有奈米尺寸粒子,包括但不限於奈米尺寸的膠體氧化矽或高純度膠體氧化矽粒子;奈米尺寸的無機金屬氧化物粒子,諸如氧化鋁、二氧化鈦、氧化鋯、二氧化鈰及其組合;奈米尺寸的鑽石粒子;奈米尺寸的氮化矽粒子;單模態、雙模態或多模態膠體磨料粒子;有機聚合物基底的軟磨料;經表面塗佈或修改的磨料;及其組合。
該經表面塗佈或修改的磨料包括但不限於在膠體氧化矽的晶格內摻雜其它金屬氧化物之膠體氧化矽粒子,諸如摻雜氧化鋁的二氧化矽粒子;膠體氧化鋁,包括α-、β-及γ-型式氧化鋁;膠體及光活性二氧化鈦、氧化鈰、膠體氧化鈰、奈米尺寸的鑽石粒子、奈米尺寸的氮化矽粒子;單模態、雙模態、多模態膠體磨料粒子;氧化鋯、有機聚合物基底的軟磨料、經表面塗佈或修改的磨料及其混合物。
該奈米尺寸粒子具有窄或寬的粒子尺寸分佈、多種尺寸及多種形狀。該多種磨料形狀包括球形、繭形、團聚形及其它形狀。
較佳的磨料包括但不限於高純度膠體氧化矽、氧化鋁、二氧化鈰、氧化鍺、二氧化矽、二氧化鈦、氧化鋯、在晶格中摻雜氧化鋁的膠體氧化矽及其混合物。膠體氧化矽係最較佳的磨料粒子。
最好該磨料的平均粒子尺寸如藉由盤式離心機(DC)粒子篩選方法測量係在20奈米至300奈米間,或更佳為在30奈米至200奈米間,及甚至更佳為在40奈米至100奈 米間。在某些較佳具體實例中,該粒子的尺寸分佈如藉由盤式離心機分析方法測量係多模態。在較佳具體實例中,該磨料粒子的粒子尺寸分佈顯示出在30奈米至120奈米之粒子尺寸範圍內有至少二個可區別的波峰。更佳的是,在30奈米至120奈米之粒子尺寸範圍內有至少三個可區別的波峰。
典型來說,相對於該CMP組成物的總重量,該磨料係以約0.1%至約20%之量範圍存在於本發明的組成物中。較佳範圍係約3%至約15重量%。
無機矽酸鹽
本發明的組成物亦包含一無機矽酸鹽。該無機矽酸鹽至少部分作用為相對於傳導金屬線來增加阻絕物或襯墊層、介電質層之移除速率。合適的無機矽酸鹽化合物包括例如矽酸的鹽類,諸如例如,矽酸鉀、矽酸銨、矽酸四甲基銨、矽酸四丁基銨、矽酸四乙基銨及其組合。較佳的是,這些矽酸鹽化合物以穩定及大部分可溶的形式存在於該CMP組成物中。
在該CMP組成物中,該可溶的矽酸鹽之量範圍相對於該CMP組成物的總重量係約0.01重量%至約10重量%,更佳為在約0.1重量%至約5重量%間,及最佳為在約0.2重量%至2.0重量%間。
高分子量聚合物
該聚合物/共聚物具有分子量大於10,000,較佳 範圍為10,000至20,000,000道耳吞,更佳為30,000至10,000,000道耳吞,及最佳為在50,000至8,000,000道耳吞間。該高分子量聚合物可選自於下列聚合物之群,包括但不限於:聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚-(1-乙烯基吡咯啶酮-共-甲基丙烯酸2-二甲基胺基乙酯)、聚丙烯醯胺、聚苯乙烯磺酸、聚丙烯醯胺與聚丙烯酸之共聚物、聚(2-乙基-唑啉)及聚環氧乙烷。
該物種的分子量可藉由任何合適的技術進行測量。某些最常見用以決定這些參數的方法有依數性質測量、靜態光散射技術、黏度測定法及尺寸排除層析法。黏度測定法及凝膠滲透層析法(GPC)係最常見用以標出聚合物的分子量特徵之技術。
較佳的是,該高分子量聚合物相對於該CMP組成物的總重量係以在0.0001重量%至約2.0重量%之範圍內存在於該組成物中。較佳範圍為約0.01重量%至約1.0重量%,及甚至更佳的濃度範圍係約0.1重量%至約0.5重量%。
就如所使用之研磨組成物的黏度來說及就增加阻絕層與介電質層之移除速率來說,已經在該無機矽酸鹽組分與該高分子量組分間觀察到協同效應。使用聚合物及矽酸鹽添加物會增加移除速率的假設之一為含有這些添加物的漿體之黏度會增加。但是,黏度實質上增加可產生諸如在研磨期間具有差的漿體流及差的過濾性之問題。較佳的是,該漿體之黏度係在1.2cP至15cP間,或更佳為在1.5cP至10cP 間或最佳為在2至8cP間。
氧化劑
本發明的研磨組成物包括一氧化試劑,亦指為”氧化劑”。該氧化劑可係任何合適的氧化劑。合適的氧化劑包括但不限於一或多種包含至少一個過氧基團(-O-O-)之過氧化合物。合適的過氧化合物包括例如過氧化物、過硫酸鹽(例如,單過硫酸鹽及雙過硫酸鹽)、過碳酸鹽、及其酸、及其鹽、及其混合物。其它合適的氧化劑包括例如氧化的鹵化物(例如,碘酸鹽、過碘酸鹽、及其酸、及其混合物、及其類似物)、過硼酸、過硼酸鹽、過碳酸鹽、過氧酸(例如,過醋酸、過苯甲酸、其鹽、其混合物、及其類似物)、過錳酸鹽、鈰化合物、高鐵氰化物(例如,高鐵氰化鉀)、其混合物、及其類似物。
在某些具體實例中,較佳的氧化劑包括但不限於過氧化氫、過碘酸、碘酸鉀、過錳酸鉀、過硫酸銨、鉬酸銨、硝酸鐵、硝酸、硝酸鉀、氨、及其混合物。在又其它具體實例中,較佳的氧化劑包括過氧化氫及尿素-過氧化氫。
該氧化劑的量範圍相對於該CMP組成物之總重量係約0.01%至約10%。較佳範圍為約0.1%至約3%。
腐蝕抑制劑
本發明的研磨組成物亦包含一腐蝕抑制劑,以部分保護曝露在該晶圓表面上之金屬線。合適的腐蝕抑制劑包括但不限於苯并三唑(BTA)或BTA衍生物、3-胺基-1,2,4-三 唑、3,5-二胺-1,2,4-三唑、其它三唑衍生物、及其組合。
該腐蝕抑制劑之量範圍相對於該組成物的總重量較佳為0.001重量%至約1.0重量%。較佳範圍為約0.01重量%至約0.1重量%。
下列組分可選擇性存在於本發明的組成物中。一般技藝人士將了解是否可及在什麼特別情況下可包括此等組分。
界面活性劑(選擇性)
本發明的組成物選擇性包含一界面活性劑,其部分輔助在研磨期間及後保護晶圓表面而減少在晶圓表面中的缺陷。亦可使用該界面活性劑來控制在研磨時所使用的某些膜諸如低k介電質之移除速率。合適的界面活性劑包括非離子界面活性劑、陰離子界面活性劑、陽離子界面活性劑、兩性界面活性劑及其混合物。
該非離子界面活性劑可選自於一定範圍的化學型式,包括但不限於長鏈醇、乙氧基化的醇、乙氧基化的炔系二醇界面活性劑、聚乙二醇烷基醚、丙二醇烷基醚、葡萄糖苷烷基醚、聚乙二醇辛基苯基醚類、聚乙二醇烷基苯基醚、甘油烷基酯、聚氧基乙二醇山梨糖醇烷基酯、山梨糖醇烷基酯、椰子醯胺(cocamide)單乙醇胺、氧化椰子醯胺二乙醇胺十二烷基二甲基胺、聚乙二醇與聚丙二醇的嵌段共聚物、聚乙氧基化的獸脂胺類、氟界面活性劑。該界面活性劑的分子量範圍可自數百至超過1百萬。這些材料的黏度亦擁有非常寬 的分佈。
該陰離子界面活性劑包括但不限於具有合適的疏水性尾巴之鹽,諸如羧酸烷酯、烷基聚丙烯酸鹽、硫酸烷酯、磷酸烷酯、二羧酸烷酯、硫酸氫烷酯、磷酸二氫烷酯;諸如羧酸烷氧酯、硫酸烷氧酯、磷酸烷氧酯、二羧酸烷氧酯、硫酸氫烷氧酯、磷酸二氫烷氧酯;諸如羧酸經取代的芳酯、硫酸經取代的芳酯、磷酸經取代的芳酯、二羧酸經取代的芳酯、硫酸氫經取代的芳酯、及磷酸二氫經取代的芳酯等等。此型式界面活性劑的抗衡離子包括但不限於鉀、銨及其它正離子。這些陰離子表面潤溼劑的分子量範圍自數百至數十萬。
該陽離子界面活性劑在主分子框架部分上擁有正淨電荷。該陽離子界面活性劑典型為包含疏水鏈與陽離子電荷中心的鹵化物分子,其中該陽離子電荷中心有諸如胺類、四級銨、苄基烷基銨(benzyalkonium)及烷基吡啶鎓離子。
在另一個態樣中,該界面活性劑可係兩性界面活性劑,其在主分子鏈上擁有正(陽離子)及負(陰離子)電荷二者及其相對抗衡離子。該陽離子部分係以一級、二級或三級胺或四級銨陽離子為主。該陰離子部分可具有更多變化及包括磺酸鹽,如在磺基甜菜鹼(sultaines)CHAPS(3-[(3-膽醯胺丙基(cholamidopropyl))二甲基銨基]-1-丙烷磺酸鹽)及椰子醯胺丙基羥基磺基甜菜鹼中。諸如椰子醯胺丙基甜菜鹼之甜菜鹼類具有一羧酸鹽與銨。某些兩性界面活性劑可具有一磷酸鹽陰離子與一胺或銨,諸如磷脂類磷脂醯絲胺酸、磷脂醯乙醇胺、卵磷脂及神經鞘磷脂類。
該界面活性劑的實施例亦包括但不限於硫酸十二烷酯鈉鹽、硫酸月桂酯鈉、硫酸十二烷酯銨鹽、磺酸二級烷烴酯、醇乙氧基化物、炔系界面活性劑及其任何組合。合適的可商業購得的界面活性劑之實施例包括由Dow Chemicals製造的TritonTM、TergitolTM、DowfaxTM界面活性劑家族;及由Air Products and Chemicals製造的SurfynolTM、DynolTM、ZetasperseTM、NonidetTM及TomadolTM界面活性劑家族之多種界面活性劑。合適的界面活性劑亦可包括包含環氧乙烷(EO)及環氧丙烷(PO)基團之聚合物。該EO-PO聚合物的實施例有來自BASF Chemicals的TetronicTM 90R4。
當使用時,該界面活性劑的量範圍相對於該阻絕物CMP組成物之總重量典型係0.0001重量%至約1.0重量%。當使用時,較佳範圍係約0.010重量%至約0.1重量%。
pH調節劑(選擇性)
本發明的組成物包含一pH調節劑。在本發明的組成物中,典型使用pH調節劑來提高或降低該研磨組成物之pH。該pH調節劑可使用來改良該研磨組成物的穩定性、調整該研磨組成物之離子強度及如需要時,改良在處理及使用時的安全性。
合適於降低該研磨組成物之pH的pH調節劑包括但不限於硝酸、硫酸、酒石酸、琥珀酸、檸檬酸、蘋果酸、丙二酸、多種脂肪酸、多種多元羧酸及其混合物。合適於提高該研磨組成物的pH之pH調節劑包括但不限於氫氧化鉀、 氫氧化鈉、氨、氫氧化四乙基銨、乙二胺、哌、聚伸乙基亞胺、修改的聚伸乙基亞胺、及其混合物。
當使用時,該pH調節劑的量範圍相對於該研磨組成物之總重量較佳為0.0001重量%至約5.0重量%。較佳範圍係約0.01重量%至約1重量%。
較佳的是,本發明的組成物之pH範圍係約2至約12,較佳為約7至約11.5,及更佳為約9至約11.5。
螯合劑(選擇性)
在本發明的組成物中可選擇性使用螯合劑來提高螯合配位基對金屬陽離子之親和、力。亦可使用螯合劑來防止金屬離子積聚在墊上而造成墊染色及移除速率不穩定。合適的螯合劑包括但不限於例如胺化合物,諸如乙二胺;胺基聚羧酸,諸如乙二胺四醋酸(EDTA)、氮基三醋酸(NTA);芳香族酸,諸如苯磺酸、4-甲苯基磺酸、2,4-二胺基-苯磺酸及等等;非芳香族有機酸,諸如伊康酸、蘋果酸、丙二酸、酒石酸、檸檬酸、草酸、葡萄糖酸、乳酸、扁桃酸、或其鹽;多種胺基酸及其衍生物,諸如甘胺酸、絲胺酸、脯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯丙胺酸、蘇胺酸、色胺酸、纈胺酸、精胺酸、天冬醯胺酸、天冬胺酸、半胱胺酸、麩胺酸、麩醯胺酸、鳥胺酸、硒半胱胺酸、酪胺酸、肌胺酸、二羥乙甘胺酸、三(羥甲基)甲基甘胺酸(tricine)、乙醯麩醯胺(aceglutamide)、N-乙醯基天冬胺酸、乙醯基肉鹼、乙醯基半胱胺酸、N-乙醯基麩胺酸、乙醯基白胺酸、阿西維辛 (acivicin)、S-腺苷基-L-高半胱胺酸、蘑菇胺酸(agaritine)、丙胺菌素(alanosine)、胺基馬尿酸、L-精胺酸乙基酯、阿斯巴甜、天冬胺醯基葡萄糖胺、苄基硫醚尿酸、生活細胞素、布立尼布(brivanib)丙胺酸鹽、卡玻西典(carbocisteine)、N(6)-羧基甲基離胺酸、卡麩胺酸(carglumic acid)、西拉司丁(cilastatin)、西替沃酮(citiolone)、鬼傘素(coprine)、二溴酪胺酸、二羥基苯基甘胺酸、依氟鳥胺酸(eflornithine)、芬克洛寧(fenclonine)、4-氟-L-蘇胺酸、N-甲醯基甲硫胺酸、γ-L-麩胺醯基-L-半胱胺酸、4-(γ-麩胺醯基胺基)丁酸、磺乙麩醯胺(glutaurine)、胍乙酸、殺腺癌菌素(hadacidin)、黑帕布辛(hepapressin)、利辛諾普利(lisinopril)、離甲環素(lymecycline)、N-甲基-D-天冬胺酸、N-甲基-L-麩胺酸、米拉醋胺(milacemide)、亞硝基脯胺酸、諾卡殺菌素(nocardicin)A、胭脂胺酸(nopaline)、章魚肉鹼、奧瑞布林(ombrabulin)、冠癭鹼、鄰胺苯磺酸、醋羥脯胺酸、聚離胺酸、瑞馬西胺(remacemide)、水楊尿酸(salicyluric acid)、絲胺基酸、史丹匹定(stampidine)、烟毒素(tabtoxin)、四唑基甘胺酸、塞奧芬(thiorphan)、賽美塔辛(thymectacin)、吉普寧(tiopronin)、色胺酸色胺醯醌(tryptophylquinone)、伐昔洛韋(valaciclovir)、纈更昔洛韋(valganciclovir)、及膦酸及其衍生物,諸如例如,辛基膦酸、胺基苄膦酸、及其組合、及其鹽。
若有需要化學鍵結例如銅陽離子及鉭陽離子來加速氧化銅及氧化鉭溶解以產生想要的銅線、通道或溝槽、及阻絕層或阻絕膜之移除速率時,可使用螯合劑。
當使用時,該螯合劑之量範圍相對於該組成物的總重量較佳為約0.01重量%至約3.0重量%,及更佳為約0.4重量%至約1.5重量%。
除生物劑(選擇性)
該CMP調配物亦可包含控制生物生長的添加物,諸如除生物劑。某些控制生物生長的添加物係揭示在美國專利案號5,230,833(Romberger等人)及美國專利申請案公告案號2002/0025762中,其以參考之方式併入本文。該生物生長抑制劑包括但不限於氯化四甲基銨、氯化四乙基銨、氯化四丙基銨;氯化烷基苄基二甲基銨及氫氧化烷基苄基二甲基銨,其中該烷基鏈的範圍係1至約20個碳原子;亞氯酸鈉、次氯酸鈉;異噻唑啉酮化合物,諸如甲基異噻唑啉酮、甲基氯異噻唑啉酮及苯并異噻唑啉酮。某些可商業購得的防腐劑包括來自Dow Chemicals的KATHONTM及NEOLENETM產品家族,及來自Lanxess的PreventolTM家族。
較佳的除生物劑有異噻唑啉酮化合物,諸如甲基異噻唑啉酮、甲基氯異噻唑啉酮及苯并異噻唑啉酮。
該CMP研磨組成物選擇性包括範圍0.0001重量%至0.10重量%的除生物劑,較佳為0.0001重量%至0.005重量%,及更佳為0.0002重量%至0.0025重量%以防止在儲存期間的細菌及黴菌生長。
在某些具體實例中,所描述用於阻絕物CMP加工的阻絕物研磨組成物包含一腐蝕抑制劑,諸如BTA或BTA 衍生物、三唑或三唑衍生物;更特別的是,諸如3-胺基-1,2,4-三唑、3,5-二胺基-1,2,4-三唑或其它;一螯合配位基,諸如苯磺酸或檸檬酸鉀;一表面潤溼劑,諸如Carbowet 13-40及Dynol 607;一具有分子量大於10,000的有機聚合物;一矽酸鹽化合物;一磨料;一pH調節劑;及一氧化劑。此組成物已經成功地使用在本發明中作為用於先進結點應用的阻絕物CMP研磨組成物,及在研磨多型式膜時提供想要的移除速率及想要的選擇性。
可將本發明的組成物製成濃縮形式及隨後在使用時以DI水進行稀釋。可將諸如例如氧化劑的其它組分制定成濃縮形式及在使用時加入,以最小化在呈濃縮形式之組分間的不相容性。可將本發明的組成物製成二或更多種組分,而在使用前混合其。
當使用於阻絕物CMP時,這些組成物可使用於與金屬線比較以較高速率研磨該阻絕物及介電膜的製程步驟中。在某些具體實例中,該阻絕物化學機械研磨組成物係對使用在經圖形化的晶圓之第二階段化學機械研磨中有效,及提供下列之至少一種:提供多種膜型式之想要的移除速率、提供想要的低晶圓內不均勻性程度(”WIW NU%”)、在CMP加工後於研磨晶圓上產生低殘餘物留存程度、及在多種膜當中提供想要的選擇性。
在半導體製造時,不想要的特定構形變形係因在化學機械研磨製程中,化學組分與銅通道或金屬線之交互作用進一步腐蝕對銅通道或金屬線造成損傷。因此,在阻絕物 CMP組成物中使用腐蝕抑制劑來減低及控制銅通道或溝槽在化學機械研磨製程期間進一步腐蝕及減少缺陷係非常重要。
對典型CMP製程的阻絕物移除步驟來說,於使用阻絕物CMP組成物時,所包含的化學反應包括由在該阻絕物CMP組成物中所使用的氧化劑例如H2O2所引發之氧化反應。金屬線、通道或溝槽及諸如Ta的阻絕物材料之表面會氧化成相對的金屬氧化物膜。典型來說,金屬銅會氧化成氧化亞銅與氧化銅之混合物,及Ta會氧化成Ta2O5(Ta的較佳氧化物形式)。
在較佳具體實例中,TEOS介電膜在3psi向下力量及135rpm工作台速度下,使用具有Shore D硬度大於40之CMP墊的移除速率係大於1500埃/分鐘,TaN移除速率係大於900埃/分鐘及銅移除速率係大於400埃/分鐘。
在較佳具體實例中,TEOS介電膜在3psi向下力量下之移除速率係大於1500埃/分鐘,TaN移除速率係大於900埃/分鐘及銅移除速率係大於400埃/分鐘。
在某些較佳具體實例中,Cu、TaN及TEOS膜伴隨著加入矽酸鹽化合物及聚合物之移除速率係比沒有這些添加物的組成物高至少1.25倍。
本發明的研磨漿體可藉由常見用以製備無顆粒水性研磨漿體組成物之方法製備。特別是,將合適量的研磨顆粒(磨料粒子),及若需要的話,合適量的分散劑加入水性溶劑。在此狀態下,粒子會團聚。因此,藉由對該研磨顆粒混合物進行分散將該團聚的磨料粒子分散成具有想要的粒子尺 寸之粒子。在此分散過程中,可使用適當的裝置,包括超音波分散器、珠磨機、揉捏器及球磨機。可於該分散製程前或後加入在本發明中的增稠劑。
可例如使用下列描述的程序來進行使用本發明的研磨漿體之典型CMP方法。首先,製備一基材,在其上面形成一絕緣膜,在該絕緣膜上形成具有提供的圖案之凹槽,及在該凹槽上沈積一金屬膜。將該基材放置在一晶圓載體上,諸如轉軸。在提供的壓力下,讓該基材的金屬膜表面與黏附在表面板諸如旋轉板上之研磨墊接觸。當在該基材與研磨墊間供應一研磨漿體時,藉由相對地移動該基材(晶圓)與研磨墊(例如,轉動二者)開始進行研磨。可從分別的供應管或從該表面板邊將研磨漿體供應在研磨墊上。若需要的話,讓一墊調理器與該研磨墊的表面接觸來調理該研磨墊之表面。
當藉由CMP對基材進行研磨時,可最有效地使用本發明的上述研磨漿體,其中已在一包含諸如溝槽及連接孔的凹槽之絕緣膜上沈積一阻絕物或襯墊金屬膜,及在整個表面上形成一傳導金屬膜,同時以金屬填入該凹槽而形成一電連接,諸如金屬鑲嵌互連、介層插塞及接觸插塞。該絕緣膜的實施例包括氧化矽膜、BPSG膜及SOG膜。該傳導金屬膜的實施例包括由銅、銀、黃金、鉑、鈦、鎢、鋁、釕及其合金製得的那些。該阻絕金屬膜的實施例包括由下列製得的那些:鉭基底的金屬,諸如鉭(Ta)、氮化鉭及氮化鉭矽;鈦基底的金屬,諸如鈦(Ti)及氮化鈦;鎢基底的金屬,諸如鎢(W)、氮化鎢及氮化鎢矽。尤其是,當該傳導金屬膜係銅基底的金 屬膜(銅膜或包含銅作為主要組分的銅合金膜)時,本發明之研磨漿體可更有效地進行使用。特別是,當該傳導金屬膜係銅基底的金屬膜及該阻絕金屬膜係鉭基底的金屬膜時,該漿體可有效地進行使用。
因此,本發明亦提供一種用於化學機械平坦化的系統,其包含:一包含至少一個表面的半導體元件,其中該至少一個表面具有(1)一選自於由下列所組成之群的阻絕層:鉭、氮化鉭、碳化鉭鎢矽、鈦、氮化鈦;(2)一選自於下列之群的互連金屬層:銅、鎢、鈷、鋁、或其合金;及(3)一多孔或無孔介電質層;一研磨墊;及如於本文中所描述的研磨組成物,其中該至少一個表面係與該研磨墊及研磨組成物接觸。將伴隨著參照下列實施例更詳細地闡明於本文中所描述的研磨組成物及方法,但是應瞭解其不視為向那裏進行限制。
操作實施例 一般實驗程序
除非其它方面有指示出,否則全部百分比係重量百分比。在下列顯現的實施例中,使用下列提供的程序及實驗條件進行CMP實驗。
在實施例中所使用的CMP工具係由Applied Materials,3050 Boweres Avenue,Santa Clara,California,95054製造之Mirra®。在來自Dow Chemicals的VP3500研磨器墊上進行研磨。在3psi向下力量及135rpm工作台速度與200毫升/分鐘組成物流速下進行研磨。使用電鍍沈積的銅、 電漿輔助沈積的四乙氧基矽烷(TEOS)介電質、鉭(Ta)及氮化鉭(TaN)膜進行研磨實驗。這些毯覆晶圓係購買自Silicon Valley Microelectronics,1150 Campbell Ave,CA,95126;及Advantiv Corporation。使用由KLA-Tencor,One Technology Drive,Milpitas,CA95035製造之Surfscan SP2晶圓檢視工具來測量在晶圓膜上的缺陷。
在隨後的實施例中,所使用之聚合物係購買自如在實施例中認定的多個供應者。分子量資訊係以供應者的目錄資訊為基準。典型來說,分子量資訊係以道耳吞報導及以黏度測量技術為基準。
實施例1
在此及隨後的實施例中,全部組成物係使用具有平均粒子尺寸如藉由盤式離心機方法(來自CPS Instruments的DC24000 UHR)測量在65-75奈米之範圍內的膠體氧化矽粒子製得。
對照組成物係以表I描述的組成物製得。
表2提供所使用的添加物之資訊。
表3總整理加入該組成物的添加物在缺陷及移除速率上之影響。
如顯示在表3中,含有加入的添加物之全部組成物在移除速率上達成最高2x增加,此將導致兩倍晶圓生產量;及缺陷減少最高5x。除了A5及A7以外,與沒有任何添加物的對照組成物比較,含有加入的添加物之組成物能夠減少在Cu及TEOS晶圓上的缺陷。
資料亦已顯示出較高濃度的A1未改良添加物效應,因為0.2及0.4重量%的添加物濃度之移除速率及缺陷幾乎相同。
亦有趣的是,將A5加入該組成物確實提供明顯的速率推升,然而其少於A1或A2,而且其在缺陷上不提供任何改良。
實施例2
藉由將不同濃度的不同聚合物添加物加入列在表1中之組成物來製得CMP組成物。以Brookfield型號:DV-II+黏度計,在25℃下,以60rpm轉軸速度測量這些調配物的黏度。
已證明加入聚合物會產生黏度增加。較高分子量的聚合物(A1)之黏度增加較高。
實施例3
使用不同濃度的矽酸鉀、添加物A1及二氧化矽粒子負載來製得CMP組成物,以決定每種組分在移除速率推升上的相對影響。
對照組成物(組成物#10)僅包含10重量%二氧化矽及水。
使用不同CMP組成物#11至#18對Cu、Ta、TaN、及TEOS膜的移除速率係顯示在表5中。
將添加物A1與矽酸鉀一起加入對照組成物觀察到協同效應:移除速率推升。
比較該組成物11及12,當矽酸鉀濃度低(0.2重量%)時,當A1濃度自0.1重量%增加至0.3重量%時,包含10重量%或4重量%二氧化矽磨料負載之組成物的移除速率推升相當小或沒有。
但是,對包含高矽酸鉀濃度的組成物(在#13及14中,1重量%)來說,當A1濃度自0.1增加至0.3重量%時,移除速率相當大量地推升。
含有4重量%二氧化矽磨料負載的組成物之移除速率推升甚至更明顯,其中當A1濃度自0.1重量%增加至0.3重量%且在該組成物中含有1重量%矽酸鉀時,其移除速率推升1.5倍或更多。
實施例4
將濃度0.2重量%的不同聚合物添加物加入在表1中描述之對照組成物來製得CMP組成物。該調配物19-23亦包含1重量%矽酸鉀。該調配物的pH係約大約10.7。
已自此表證明較高分子量的聚合物在增加全部膜之移除速率上更有效。
前述實施例及該等具體實例之說明應該採用作 為闡明而非作為如由申請專利範圍所定義的發明之限制。如將容易地察知,可使用上述提出的特徵之許多變化及組合而沒有離開如在申請專利範圍中提出的發明。想要在下列申請專利範圍的範圍內包括此等變化。

Claims (35)

  1. 一種研磨組成物,包含:水;一磨料;一高分子量聚合物,其係選自於由:聚(丙烯酸)、聚(甲基丙烯酸)、聚(2-丙烯醯胺基-2-甲基-1-丙烷磺酸)、羧甲基纖維素、甲基纖維素、羥丙基甲基纖維素、聚-(1-乙烯基吡咯啶酮-共-甲基丙烯酸2-二甲基胺基乙酯)、聚(4-苯乙烯磺酸鈉)、聚(環氧乙烷)、聚(4-苯乙烯磺酸)、聚丙烯醯胺、聚(丙烯醯胺/丙烯酸)共聚物、及其組合、及其鹽所組成之群,及其中該高分子量聚合物具有分子量30,000至30,000,000道耳吞;一腐蝕抑制劑;一無機矽酸鹽;一氧化劑;及選擇性,一界面活性劑;一pH調節劑;一螯合劑;其中該研磨組成物具有pH約7至約11.5,及其中該研磨組成物的黏度係約1.5cP至約10cP。
  2. 如請求項1之研磨組成物,其中該無機矽酸鹽係選自於由:矽酸鉀、矽酸銨、矽酸四甲基銨、矽酸四丁基銨、矽酸四乙基銨、及其組合所組成之群的矽酸鹽。
  3. 如請求項2之研磨組成物,其中該無機矽酸鹽係以約0.2重量%至約2重量%之量存在。
  4. 如請求項1之研磨組成物,其中該高分子量聚合物係以約0.01重量%至約1.0重量%之量存在。
  5. 如請求項4之研磨組成物,其中該高分子量聚合物係以約0.1重量%至約0.5重量%之量存在。
  6. 如請求項1之研磨組成物,其中該磨料係選自於:高純度膠體氧化矽、氧化鋁、二氧化鈰、氧化鍺、二氧化矽、二氧化鈦、氧化鋯、在晶格中摻雜氧化鋁的膠料膠體氧化矽、及其混合物所組成之群。
  7. 如請求項1之研磨組成物,其中該磨料係以約3重量%至約15重量%之量存在。
  8. 如請求項7之研磨組成物,其中該磨料係膠體氧化矽及該膠體氧化矽具有平均粒子尺寸在30奈米至300奈米間。
  9. 如請求項8之研磨組成物,其中該膠體氧化矽具有平均粒子尺寸在50奈米至200奈米間。
  10. 如請求項9之研磨組成物,其中該膠體氧化矽具有平均粒子尺寸在60奈米至150奈米間。
  11. 如請求項8之研磨組成物,其中該膠體氧化矽具有一粒子尺寸分佈,其在30奈米至120奈米間有至少二個可區別的波峰。
  12. 如請求項8之研磨組成物,其中該膠體氧化矽具有一粒子尺寸分佈,其在30奈米至120奈米間有至少三個可區別的波峰。
  13. 如請求項1之研磨組成物,其中該腐蝕抑制劑係選自於:苯并三唑、3-胺基-1,2,4-三唑、3,5-二胺-1,2,4-三唑及其組合所組成之群;及該腐蝕抑制劑係以約0.01重量%至約0.1重量%之量存在。
  14. 如請求項1之研磨組成物,其中該pH調節劑係存在及係選自於由:硝酸、硫酸、酒石酸、琥珀酸、檸檬酸、蘋果酸、丙二酸、多種脂肪酸、多種多元羧酸及其組合所組成之群。
  15. 如請求項1之研磨組成物,其中該pH調節劑係存在及係選自於:氫氧化鉀、氫氧化鈉、氨、氫氧化四乙基銨、乙二胺、哌、聚伸乙基亞胺、修改的聚伸乙基亞胺、及其組合所組成之群。
  16. 如請求項1之研磨組成物,其中該pH調節劑係以約0.0001重量%至約2重量%之量範圍存在。
  17. 如請求項1之研磨組成物,其中該螯合劑係存在及係選自於由:檸檬酸鉀、苯磺酸、4-甲苯基磺酸、2,4-二胺基-苯磺酸、及丙二酸、伊康酸、蘋果酸、酒石酸、檸檬酸、草酸、葡萄糖酸、乳酸、扁桃酸、胺基酸、聚羧基胺基酸、膦酸及其組合及其鹽所組成之群。
  18. 如請求項1之研磨組成物,其中該螯合劑係以約0.01重量%至約3.0重量%之量範圍存在。
  19. 如請求項1之研磨組成物,其中該界面活性劑係選自於由:非離子表面活性劑、陰離子界面活性劑、陽離子界面活性劑、兩性界面活性劑及其組合所組成之群。
  20. 如請求項1之研磨組成物,其中該界面活性劑係以約0.010重量%至約0.1重量%之量存在。
  21. 如請求項20之研磨組成物,其中該界面活性劑係選自於由:炔系二醇界面活性劑、醇乙氧基化物界面活性劑及其組合所組成之群。
  22. 如請求項1之研磨組成物,其中該氧化劑係選自於由:過氧化合物、氧化的鹵化物、過硼酸、過硼酸鹽、過碳酸鹽、過錳酸鹽、鈰化合物、鐵氰化物及其組合所組成之群。
  23. 如請求項22之研磨組成物,其中該氧化劑係以約0.5重量%至約2.0重量%之量存在。
  24. 一種用於化學機械平坦化包含至少一個表面的半導體元件之研磨方法,其中該至少一個表面具有(1)一阻絕層,其係選自於由:鉭、氮化鉭、碳化鉭鎢矽、鈦、及氮化鈦所組成之群;(2)一互連金屬層,其係選自於由:銅、鎢、鈷、鋁、釕及其合金所組成之群;及(3)一多孔或無孔介電質層,該方法包括下列步驟:a.讓該至少一個表面與一研磨墊接觸;b.將如請求項1至23中任一項所述的研磨組成物傳遞至該至少一個表面;及c.使用該研磨組成物來研磨該至少一個表面以至少部分移除較佳為在該介電質層上之至少該阻絕層。
  25. 如請求項24之研磨方法,其中該多孔或無孔介電質層包含矽、碳、氧及氫。
  26. 如請求項25之研磨方法,其中該多孔或無孔介電質層係TEOS。
  27. 如請求項25之研磨方法,其中該多孔或無孔介電質層進一步包含一無孔材料的覆蓋層。
  28. 如請求項24之研磨方法,其中該阻絕層對該介電質層的移除速率選擇性在0.25至4之範圍間。
  29. 如請求項28之研磨方法,其中該阻絕層對該介電質層的移除速率選擇性在0.5至2之範圍間。
  30. 如請求項24之研磨方法,其中該互連金屬層對該介電質層的移除速率選擇性在0.25至4之範圍間。
  31. 如請求項30之研磨方法,其中該互連金屬層對該介電質層的移除速率選擇性在0.5至2之範圍間。
  32. 如請求項26之研磨方法,其中該TEOS在3psi向下力量下的移除速率係大於1500埃/分鐘。
  33. 如請求項24之研磨方法,其中該阻絕層係氮化鉭(TaN)及該TaN在3psi向下力量下的移除速率係大於900埃/分鐘。
  34. 如請求項24之研磨方法,其中該互連金屬層包含銅及該銅在3psi向下力量下的移除速率係大於400埃/分鐘。
  35. 一種用於化學機械平坦化的系統,其包含:一包含至少一個表面的半導體元件,其中該至少一個表面具有(1)一阻絕層,其係選自於由:鉭、氮化鉭、碳化鉭鎢矽、鈦、及氮化鈦所組成之群;(2)一互連金屬層,其係選自於由:銅、鎢、鈷、鋁、釕及其合金所組成之群;及(3)一多孔或無孔介電質層;一研磨墊;及一如請求項1至23中任一項所述的研磨組成物,其中該至少一個表面係與該研磨墊及該研磨組成物接觸。
TW106122106A 2016-07-01 2017-06-30 用於阻絕物化學機械平坦化的添加物 TWI646161B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662357571P 2016-07-01 2016-07-01
US62/357,571 2016-07-01
US15/630,584 2017-06-22
US15/630,584 US10253216B2 (en) 2016-07-01 2017-06-22 Additives for barrier chemical mechanical planarization

Publications (2)

Publication Number Publication Date
TW201802204A TW201802204A (zh) 2018-01-16
TWI646161B true TWI646161B (zh) 2019-01-01

Family

ID=59269965

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106122106A TWI646161B (zh) 2016-07-01 2017-06-30 用於阻絕物化學機械平坦化的添加物

Country Status (8)

Country Link
US (1) US10253216B2 (zh)
EP (1) EP3263667B1 (zh)
JP (1) JP6643281B2 (zh)
KR (1) KR101954380B1 (zh)
CN (1) CN107586517B (zh)
IL (1) IL253263B (zh)
SG (1) SG10201705396VA (zh)
TW (1) TWI646161B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018168206A1 (ja) * 2017-03-14 2018-09-20 株式会社フジミインコーポレーテッド 研磨用組成物、その製造方法ならびにこれを用いた研磨方法および基板の製造方法
US11117239B2 (en) * 2017-09-29 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing composition and method
EP3775076A4 (en) 2018-03-28 2021-12-22 FUJIFILM Electronic Materials U.S.A, Inc. CHEMICAL-MECHANICAL POLISHING SUSPENSION WITH RUTHENIUM BARRIER
JP7351839B2 (ja) * 2018-03-28 2023-09-27 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド ルテニウムバルクの化学機械研磨組成物
US20190352535A1 (en) * 2018-05-21 2019-11-21 Versum Materials Us, Llc Chemical Mechanical Polishing Tungsten Buffing Slurries
SG10201904669TA (en) * 2018-06-28 2020-01-30 Kctech Co Ltd Polishing Slurry Composition
US10920105B2 (en) * 2018-07-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Materials and methods for chemical mechanical polishing of ruthenium-containing materials
US20200102476A1 (en) * 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
US11180678B2 (en) * 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US20220064485A1 (en) * 2018-12-12 2022-03-03 Basf Se Chemical mechanical polishing of substrates containing copper and ruthenium
US20220049125A1 (en) * 2018-12-12 2022-02-17 Basf Se Chemical mechanical polishing of substrates containing copper and ruthenium
KR20200076991A (ko) * 2018-12-20 2020-06-30 주식회사 케이씨텍 Sti 공정용 연마 슬러리 조성물
US20200277514A1 (en) * 2019-02-28 2020-09-03 Versum Materials Us, Llc Chemical Mechanical Polishing For Copper And Through Silicon Via Applications
KR20200143144A (ko) 2019-06-14 2020-12-23 삼성전자주식회사 슬러리 조성물 및 이를 이용한 집적회로 소자의 제조 방법
WO2021005980A1 (ja) * 2019-07-05 2021-01-14 富士フイルム株式会社 組成物、キット、基板の処理方法
US20210087431A1 (en) * 2019-09-24 2021-03-25 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
TWI795674B (zh) * 2019-09-24 2023-03-11 美商慧盛材料美國責任有限公司 阻障物化學機械平坦化(cmp)研磨組合物、系統及其研磨方法
WO2021067151A1 (en) * 2019-09-30 2021-04-08 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
WO2021065815A1 (ja) * 2019-10-03 2021-04-08 日産化学株式会社 カチオンを含むレーザーマーク周辺の隆起を解消するための研磨用組成物
KR20220083728A (ko) * 2019-10-15 2022-06-20 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이의 사용 방법
KR102570805B1 (ko) * 2019-11-01 2023-08-24 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼 연마 방법
TWI743989B (zh) * 2019-11-15 2021-10-21 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
JP2021080441A (ja) * 2019-11-20 2021-05-27 株式会社フジミインコーポレーテッド 研磨組成物、研磨方法および基板の製造方法
KR102316237B1 (ko) * 2019-12-19 2021-10-25 주식회사 케이씨텍 멀티선택비 구현이 가능한 연마용 슬러리 조성물
US11492514B2 (en) * 2020-01-07 2022-11-08 Cmc Materials, Inc. Derivatized polyamino acids
US20210371702A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Company Ltd. Slurry composition and method for polishing and integratged circuit
US11659771B2 (en) * 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
CN113583572B (zh) * 2021-07-09 2022-08-05 万华化学集团电子材料有限公司 一种钨化学机械抛光液及其应用
KR20240051190A (ko) * 2021-08-25 2024-04-19 씨엠씨 머티리얼즈 엘엘씨 음이온성 연마제를 포함하는 cmp 조성물
US20230087984A1 (en) * 2021-09-23 2023-03-23 Cmc Materials, Inc. Silica-based slurry compositions containing high molecular weight polymers for use in cmp of dielectrics
WO2023178003A1 (en) * 2022-03-14 2023-09-21 Versum Materials Us, Llc Stable chemical mechanical planarization polishing compositions and methods for high rate silicon oxide removal
CN115725241B (zh) * 2022-11-17 2024-05-03 万华化学集团电子材料有限公司 一种多晶硅抛光组合物及其应用
CN115926629B (zh) * 2022-12-30 2023-12-05 昂士特科技(深圳)有限公司 具有改进再循环性能的化学机械抛光组合物

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200734117A (en) * 2005-11-22 2007-09-16 Cabot Microelectronics Corp Friction reducing aid for CMP
TW201435070A (zh) * 2012-12-25 2014-09-16 Jsr Corp 化學機械研磨用水系分散體及化學機械研磨方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
MY133700A (en) 1996-05-15 2007-11-30 Kobe Steel Ltd Polishing fluid composition and polishing method
JP3521614B2 (ja) * 1996-05-15 2004-04-19 株式会社神戸製鋼所 シリコン用研磨液組成物
US6720264B2 (en) 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
US6527817B1 (en) * 1999-11-15 2003-03-04 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US20020025762A1 (en) 2000-02-16 2002-02-28 Qiuliang Luo Biocides for polishing slurries
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP3768401B2 (ja) * 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
JP2003100672A (ja) * 2001-09-21 2003-04-04 Rodel Nitta Co 研磨用スラリー
JP2003197573A (ja) * 2001-12-26 2003-07-11 Ekc Technology Kk メタル膜絶縁膜共存表面研磨用コロイダルシリカ
DE10164262A1 (de) * 2001-12-27 2003-07-17 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
BRPI0412515A (pt) * 2003-07-11 2006-09-19 Grace W R & Co partìculas abrasivas para polimento quìmico mecánico
US7037350B2 (en) 2003-07-14 2006-05-02 Da Nanomaterials L.L.C. Composition for chemical-mechanical polishing and method of using same
US20070082456A1 (en) 2003-11-14 2007-04-12 Nobuo Uotani Polishing composition and polishing method
KR100582771B1 (ko) 2004-03-29 2006-05-22 한화석유화학 주식회사 반도체 얕은 트렌치 소자 분리 공정용 화학적 기계적 연마슬러리
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
KR100641348B1 (ko) 2005-06-03 2006-11-03 주식회사 케이씨텍 Cmp용 슬러리와 이의 제조 방법 및 기판의 연마 방법
JP2007214155A (ja) 2006-02-07 2007-08-23 Fujifilm Corp バリア用研磨液及び化学的機械的研磨方法
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US20080135520A1 (en) 2006-12-12 2008-06-12 Tao Sun Chemical composition for chemical mechanical planarization
US20080149884A1 (en) 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US20080148649A1 (en) 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
JP5317436B2 (ja) 2007-06-26 2013-10-16 富士フイルム株式会社 金属用研磨液及びそれを用いた研磨方法
WO2009056491A1 (en) 2007-10-29 2009-05-07 Basf Se Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
JP2009272418A (ja) * 2008-05-07 2009-11-19 Asahi Glass Co Ltd 研磨剤組成物および半導体集積回路装置の製造方法
US8597539B2 (en) 2008-10-03 2013-12-03 Basf Se Chemical mechanical polishing (CMP) polishing solution with enhanced performance
US20130171824A1 (en) 2010-09-08 2013-07-04 Basf Se Process for chemically mechanically polishing substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films
SG10201606566SA (en) 2010-09-08 2016-09-29 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
WO2014061417A1 (ja) 2012-10-16 2014-04-24 日立化成株式会社 Cmp用研磨液、貯蔵液及び研磨方法
US20150104940A1 (en) 2013-10-11 2015-04-16 Air Products And Chemicals Inc. Barrier chemical mechanical planarization composition and method thereof
CN106661431B (zh) * 2014-06-25 2019-06-28 嘉柏微电子材料股份公司 铜阻挡物的化学机械抛光组合物
US10032644B2 (en) 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200734117A (en) * 2005-11-22 2007-09-16 Cabot Microelectronics Corp Friction reducing aid for CMP
TW201435070A (zh) * 2012-12-25 2014-09-16 Jsr Corp 化學機械研磨用水系分散體及化學機械研磨方法

Also Published As

Publication number Publication date
US10253216B2 (en) 2019-04-09
IL253263A0 (en) 2017-09-28
EP3263667B1 (en) 2019-04-24
IL253263B (en) 2021-05-31
JP2018019075A (ja) 2018-02-01
CN107586517B (zh) 2020-11-13
SG10201705396VA (en) 2018-02-27
KR101954380B1 (ko) 2019-03-05
JP6643281B2 (ja) 2020-02-12
KR20180004019A (ko) 2018-01-10
CN107586517A (zh) 2018-01-16
TW201802204A (zh) 2018-01-16
US20180002571A1 (en) 2018-01-04
EP3263667A1 (en) 2018-01-03

Similar Documents

Publication Publication Date Title
TWI646161B (zh) 用於阻絕物化學機械平坦化的添加物
TWI677570B (zh) 阻絕物的化學機械平坦化組合物
JP5327050B2 (ja) 金属用研磨液及び研磨方法
US7678605B2 (en) Method for chemical mechanical planarization of chalcogenide materials
JP4952584B2 (ja) 金属用研磨液及び被研磨膜の研磨方法
JP4167214B2 (ja) ビシン/トリシン含有組成物および化学的−機械的平面化のための方法
KR101144419B1 (ko) 금속-함유 기판의 화학 기계적 평탄화를 위한 방법 및 조성물
JPWO2007116770A1 (ja) 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
JP2009534834A (ja) 銅含有基材のためのcmp法
JP2015019058A (ja) 化学機械研磨用水系分散体および化学機械研磨方法
WO2011093195A1 (ja) 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、ならびに化学機械研磨用水系分散体調製用キット
US20090061630A1 (en) Method for Chemical Mechanical Planarization of A Metal-containing Substrate
US20100167546A1 (en) Method and Composition for Chemical Mechanical Planarization of A Metal or A Metal Alloy
JP2022549517A (ja) 低ディッシングの、銅の化学機械平坦化
JP2008118099A (ja) 金属用研磨液及びこの研磨液を用いた被研磨膜の研磨方法
US20210301405A1 (en) Barrier Chemical Mechanical Planarization Slurries For Cobalt Films