TWI590332B - 異質退火方法及裝置 - Google Patents

異質退火方法及裝置 Download PDF

Info

Publication number
TWI590332B
TWI590332B TW102131402A TW102131402A TWI590332B TW I590332 B TWI590332 B TW I590332B TW 102131402 A TW102131402 A TW 102131402A TW 102131402 A TW102131402 A TW 102131402A TW I590332 B TWI590332 B TW I590332B
Authority
TW
Taiwan
Prior art keywords
component
contact structure
bonded
metal
thickness
Prior art date
Application number
TW102131402A
Other languages
English (en)
Other versions
TW201417185A (zh
Inventor
保羅M 恩奎斯特
蓋厄斯 吉歐曼 二世 方坦
Original Assignee
增普拓尼克斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 增普拓尼克斯公司 filed Critical 增普拓尼克斯公司
Publication of TW201417185A publication Critical patent/TW201417185A/zh
Application granted granted Critical
Publication of TWI590332B publication Critical patent/TWI590332B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/26Resistors with an active material comprising an organic conducting material, e.g. conducting polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83053Bonding environment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/832Applying energy for connecting
    • H01L2224/83201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)

Description

異質退火方法及裝置 相關申請案的交叉參考
本申請案係關於美國專利第6,902,987號;第6,932,835號;第7,041,178號;第7,335,996號;第7,387,944號;第7,485,968號;第7,602,070號;第7,807,548號;第7,842,540號;第7,871,898號及第8,053,329號及申請案第12/270,585號;第12/913,385號;第12/954,740號及第13/341,273號,該等專利案之全部內容以引用方式併入本文中。
本發明係關於三維積體電路之領域且更特定而言係關於使用直接晶圓接合之三維積體電路之裝置及其製造。
半導體積體電路(IC)通常製造在一矽晶圓之表面內或製造在一矽晶圓之表面上,從而導致一IC面積必定隨IC之尺寸增加而增加。對於減小IC中之電晶體尺寸方面之持續改良(通常稱為莫爾(Moore)定律)已使得一給定IC面積中之電晶體數目能夠大幅增加。然而,儘管電晶體密度增加,但歸因於所需電晶體數量之較大增加或介於電晶體之間之所需橫向互連之數目增加,許多應用需要增加總IC面積以達成一特定功能。在一單一、大面積IC晶粒中實現此等應用通常導致晶片良率減小且相應地導致IC成本增加。
IC製造之另一趨勢為增加一單一IC(更通常地稱為一系統單晶片 (SoC))內之不同類型電路之數目。此製造通常需要增加遮罩層級之數目以製造不同類型之電路。遮罩層級之增加通常亦導致晶片良率減小且相應地導致IC成本增加。避免此等非所要之良率降低及成本增加之一解決方案為垂直堆疊且垂直互連IC。此等IC可具有不同尺寸,來自不同尺寸之晶圓,包括不同功能(即,類比、數位、光學),由不同材料(即,矽、GaAs、InP等等)製成。可在堆疊之前對IC進行測試以容許組合已知優良晶粒(Known Good Die;KGD)而改良良率。此垂直堆疊及垂直互連(或三維3D SoC)方式在經濟上的成功取決於堆疊及互連之良率及成本相比於與增加的IC或SoC面積相關聯之良率及成本係有利的。用於實現此方式之一可製造方法係使用直接接合而垂直堆疊個別製造之IC,其中直接接合表面製備使用習知晶圓製造技術,例如,金屬沈積、介電質沈積、化學機械拋光、晶圓減薄、微影遮罩及通孔蝕刻。將直接接合用於3D SoC製造之一進一步優點係由於直接接合程序而能夠達成堆疊之不同層或層級之間之垂直互連之一可調整密度。
直接接合需要一無法由通常IC晶圓製造產生之實質上平坦表面。因此,達成一充分晶圓平坦化可為一直接接合程序中之一重大成本要素。因此,期望具有包括一結構之一裝置及需要一最小成本來達成此所需之表面平坦性之製造該結構之一方法。
金屬直接接合包含用於形成3D結構之方法及裝置,其中可跨一接合介面製造電隔離電互連,可藉由將兩個元件之兩個表面對準且使其等直接接觸來形成該接合介面。各表面可具有絕緣部分及導電部分,且對準之導電部分可導致跨接合介面之一3D電互連,且對準之絕緣部分可使3D電互連與其他3D電互連隔離。
跨接合介面製造3D電互連之細節取決於絕緣部分與導電部分之相對平坦性。例如,若導電部分高於絕緣部分,則可藉由簡單地使兩 個表面接觸來製造一3D互連,例如,若導電部分上沒有防止一3D互連之原生氧化物且導電部分在絕緣部分上方之延伸足夠小使得絕緣部分亦可利用表面順應性而以直接接觸形式接合。亦可不藉由簡單地使兩個表面接觸來製造3D互連,例如若導電部分低於絕緣部分,使得當表面被放置在一起時導電部分不接觸。在此實例中,可使用歸因於導電部分與絕緣部分之間之熱膨脹係數(CTE)差異之溫度之一微小升高及絕緣組件之間之一充分接合能量來製造3D互連,該充分接合能量在元件具有標準厚度之情況下在加熱期間壓縮導電組件。若元件之CTE係相當的,則用於造成一連接之溫度之微小增加可與處於接觸中之絕緣部分之接合能量及元件之剛性相適應。若元件之CTE係不相當的,例如對於一些異質材料組合而言,則在用於製造3D互連之加熱期間,處於接觸中之絕緣部分之高接合能量可導致元件之一者或兩者斷裂。可藉由在加熱之前充分減薄元件之一者來避免此斷裂。此減薄藉由減小元件之剛性而增加元件之順應性使得其可適應元件之CTE差異。減薄以適應此CTE差異可導致元件之剛性減小使得壓縮不足以製造一3D互連。
本發明係關於一壓縮裝置及方法,該壓縮裝置及方法將在加熱兩個元件時促進在該等兩個元件之間形成直接接合3D互連,其中充分減薄一或兩個元件以折中跨越兩個元件之間之一接合介面而製造一3D互連所需之經減薄元件或多個經減薄元件之剛性。
在該方法及裝置之一實例中,含有具有不同CTE之半導體材料之兩個異質晶圓具有經適當製備以用於金屬直接接合之表面,其中表面之導電金屬部分或多個導電金屬部分低於絕緣部分或多個絕緣部分。對準該等晶圓且使該等晶圓接觸且絕緣部分以高接合能量形成一直接接合。接著,減薄一第一晶圓,但該減薄將經減薄晶圓之剛性減小至 低於可靠地形成3D互連所需之剛性。接著,將具有與第二晶圓相當之一CTE之一第三晶圓直接接合至第一晶圓之經減薄側從而增加經減薄晶圓之剛性,且加熱經接合結構從而容許形成3D互連。
在該方法及裝置之第二實例中,含有具有不同CTE之半導體材料之兩個異質晶圓具有經適當製備以用於金屬直接接合之表面,其中表面之導電金屬部分或多個導電金屬部分低於絕緣部分或多個絕緣部分。對準該等晶圓且使該等晶圓接觸且絕緣部分以高接合能量形成一直接接合。接著,減薄一第一晶圓,但該減薄將經減薄晶圓之剛性減小至低於可靠地形成3D互連所需之剛性。接著,將具有與第二晶圓相當之一CTE之一第三晶圓夾持至第一晶圓之經減薄側從而增加經減薄晶圓之剛性,且加熱經接合結構,從而容許以加熱形成3D互連。
1‧‧‧晶圓
2‧‧‧晶圓
3‧‧‧主要部分/晶圓
4‧‧‧直接金屬接合部分
5‧‧‧直接金屬接合部分/部分
6‧‧‧主要部分
7‧‧‧介面
8‧‧‧經減薄層/較厚層/較薄層/層/經減薄之主要部分/經減薄部分
9‧‧‧第三晶圓/晶圓
10‧‧‧部分
11‧‧‧晶圓
12‧‧‧晶圓
13‧‧‧通孔
14‧‧‧通孔
15‧‧‧夾具/晶圓
16‧‧‧卡盤/密封包封體
17‧‧‧高壓腔室
18‧‧‧金屬墊
19‧‧‧氧化物或氮化物材料
當藉由參考結合附圖考慮之以下詳細描述更好地理解本發明時,將容易獲得本發明之一更完整瞭解及其許多伴隨優點,其中:圖1係具有一主要部分及一直接金屬接合部分之兩個晶圓之一圖式。
圖2係具有一主要部分及經對準且放置在一起從而形成一接合介面之一直接金屬接合部分之兩個晶圓之一圖式。
圖3係具有一主要部分及經對準且放置在一起從而形成一接合介面之一直接金屬接合部分之兩個晶圓之一圖式,其中該兩個晶圓之一者之主要部分之一實質部分被移除從而導致一直接金屬接合對之一經減薄部分。
圖4係附接至一直接金屬接合對之經減薄部分之一加固晶圓之一圖式。
圖5係移除一加固晶圓之後一直接金屬接合對之一經減薄部分之一圖式。
圖6係包含經填充通孔之一第二實施例之一圖式。
圖7係各自具有經填充通孔之兩個經接合晶圓之一圖式。
圖8係展示通孔被曝露之圖7中之結構之一圖式。
圖9展示將一額外基板附接至圖8之結構。
圖10A至圖10C係金屬接合區域之詳細視圖。
圖11係以一夾具接合之一晶圓之一圖式。
圖12係以一夾具接合之一晶圓之另一圖式。
圖13係使用一撓性容器進行接合之一圖式。
圖14係將壓力施加至圖13中之撓性容器之一圖式。
圖15係使用一撓性容器進行接合之一圖式。
圖16係將壓力施加至圖15中之撓性容器之一圖式。
現參考圖式(特定而言圖1),將描述根據本發明之方法之一第一實施例。此處應注意,圖式未按比例繪製而是經繪製以繪示本發明之概念。
兩個晶圓1及2經製備以用於接合。該等晶圓由不同材料製成且具有不同CTE。晶圓2包含一主要部分6及一直接金屬接合部分5。直接金屬接合部分5具有具絕緣部分及金屬部分之一表面。絕緣部分較佳係氧化物或氮化物,且更佳係氧化矽或氮化矽。在圖10A至圖10C中更詳細展示部分5。取決於程序條件及所要組態,金屬墊20可低於氧化物或氮化物材料21、與氧化物或氮化物材料21齊平或可高於氧化物或氮化物材料21。在於表面上使用化學機械拋光之情形中,金屬墊可為碟型且具有低於氧化物或氮化物材料之表面的一表面,或該氧化物或氮化物材料可為碟型且具有低於金屬墊之表面的一表面。
主要部分6可包含基板、裝置及互連部分,例如在工業標準製造之半導體晶圓(諸如,通常用銅或鋁後段程序製造之CMOS晶圓)中見 到的。晶圓1包含一主要部分3及一直接金屬接合部分4。主要部分3可包含基板、裝置及接觸部分,例如在生長於具有形成至異質磊晶材料之接觸件的藍寶石(GaN/藍寶石)上之工業標準氮化鎵系之異質磊晶裝置結構中見到的。
如申請案第09/505,283號、第10/359,608號及第11/201,321號中所描述將晶圓1及晶圓2直接金屬接合,如圖2中所展示。若金屬部分之表面低於絕緣部分之表面,則在第一次使晶圓接觸之後僅絕緣部分可在介面7處直接接觸。接著,可將經接合晶圓加熱以增加經接合絕緣部分之間之接合能量,但並非在使CTE誘發之應變使絕緣部分之間之接合破裂或使經接合晶圓破裂之一過高溫度下加熱。用於增加接合能量之最佳溫度將取決於經接合之晶圓之CET差異及厚度。例如,當將具有約500微米至1000微米藍寶石厚度範圍之一GaN/藍寶石結構接合至具有約500微米至750微米之厚度範圍之矽CMOS時,在75℃至150℃之範圍內之一溫度可為較佳的以達成大於1J/m2且較佳地大於2J/m2之一接合能量。在使用一更薄材料或使用具有一較低CTE差異之材料的情況下,更高的溫度係可行的,以促進達成大於2.5J/m2之甚至更高接合能量。雖然此溫度範圍可足以達成一非常高之接合能量,但取決於金屬部分與絕緣部分之相對高度及所使用之金屬類型,其可能不足以形成3D互連。例如,若使用銅,則在銅比氧化矽絕緣部分低0nm至10nm之情況下可能需要150℃至250℃之一溫度範圍。或者,若使用鎳,則在鎳比氧化矽絕緣部分低0nm至10nm之情況下,可能需要250℃至350℃之一溫度範圍以製造3D互連。銅相比於鎳之較低溫度範圍需求係金屬之類型可影響溫度範圍之一實例,其中銅相比於鎳之膨脹係數(約13ppm/℃)之較高膨脹係數(約17ppm/℃)導致一給定溫度下之更大膨脹,從而導致對於接合表面之金屬部分及絕緣部分之間之一給定高度差異而言之更低溫度範圍。因此可能需要更高溫度以促進 電互連,然而歸因於可使絕緣部分之間之接合破裂或使經接合晶圓破裂之CTE誘發之應變,在經接合晶圓之此組態之情況下更高溫度係不可行的。
接著,如圖3中所展示將主要部分3減薄以形成具有通常在1微米至10微米範圍內之一厚度之經減薄層8。取決於應用及材料,層8之厚度可在此範圍之外。例如,具有小於2ppm/℃之一低CTE失配之經接合材料組合可容許在10微米至100微米範圍內之一較厚層8且需要小於一微米之層轉移之應用可使用0.10微米至1.0微米之一較薄層8。減薄可包含背部研磨、拋光、蝕刻或雷射剝離之一者或一組合。例如,若晶圓2係一GaN/藍寶石結構,則可使用雷射剝離以移除藍寶石,從而促成具有金屬接觸部分之一極薄GaN裝置層。歸因於增加的順應性或彈性,經減薄層8容許加熱至一更高溫度而不使絕緣部分之間之接合破裂或使經接合晶圓破裂。所容許之增加溫度取決於材料及層8之減小的厚度。例如,對於具有2ppm/℃至5ppm/℃之一高CTE失配之經接合材料及2微米至20微米之一層8厚度可藉由此減薄實現超過350℃之溫度(例如350℃至400℃)。由減小之層8厚度實現之此增加之溫度範圍可適於實現3D互連或用於其他處理(例如,氧化物沈積或退火)。沒有必要將此增加溫度範圍之全部範圍用於其他處理。例如,比增加溫度範圍之前所容許之溫度範圍更高且低於最大經增加溫度範圍之其他處理係可行的。
在一些情形中,在加熱晶圓以促進電互連的情況下,層8可能太薄而不能提供充分剛性以在晶圓1及晶圓2之表面處之金屬部分之間產生充分壓縮以形成可靠3D互連。例如,若層8之厚度係在1微米至10微米之範圍內(其中此層之一上部分(例如0.2微米至2.0微米)包括絕緣接合材料及導電接合材料之一異質組合),則歸因於絕緣接合材料與導電接合材料之間之CTE差異,在低溫(例如低於300℃)下可在絕緣接 合材料與導電接合材料之間之介面鄰近處產生垂直於接合介面之相當大的應力。此垂直應力可使薄層扭曲,從而導致金屬部分之間之更小壓縮力且防止跨接合介面之電互連。此扭曲係由經減薄表面處之導電接合材料相對於絕緣接合材料之一CTE失配誘發之擠壓所引起,該擠壓歸因於經減薄層相比於未部分移除或完全移除基板之層之經減小剛性而不受經減薄層約束。
可藉由將一第三晶圓9接合至經減薄之主要部分8來補償此經減小剛性,以減小或防止層8之扭曲且在晶圓1及晶圓2之表面處之金屬部分之間實現充分壓縮以在接合第三晶圓9之後用加熱形成3D互連,如圖4中所展示。所需之第三晶圓9之最小厚度可以實驗方式決定,然而,此厚度通常小於一標準晶圓厚度,例如對於直徑為100mm至300mm晶圓而言,此厚度係50微米至100微米,而標準厚度約為0.5mm至0.8mm,因為剛性隨著取決於第三晶圓9之厚度之立方之相對小之厚度而實質上增加。可藉由在附接之前或在附接之後減薄第三晶圓9來獲得第三晶圓9之一減小厚度。亦可使用大於最小厚度之一晶圓9厚度,例如一標準晶圓厚度。
第三晶圓9之附接可使用各種方法,例如使用如申請案第09/505,283號中所描述之一直接接合,或如圖11中所展示之一夾具15。在使用一直接接合的情況下,附接可包含在晶圓9及/或經減薄部分8上添加接合層。在使用一夾具的情況下,在圖11中展示夾具15,其中施加至晶圓堆疊之兩側之外部壓力由箭頭表示。此可藉由如圖11中所展示自兩側施加外部壓力或藉由如圖12中所展示自一側施加外部壓力而相對側由一卡盤16遏制而進行。第三晶圓9較佳地具有與晶圓2相當之一CTE,以在形成3D互連之後續加熱期間防止過度應力。例如,若晶圓1係GaN/藍寶石,且晶圓2係矽CMOS,則第三晶圓9可為矽。CTE差異之可行範圍取決於材料、其面積及其厚度。例如,當接 合200mm直徑矽晶圓且將氧化矽及銅分別用作絕緣接合材料及導電接合材料時,較佳地具有小於0.5ppm/℃之一CTE差異。當使用較大晶圓(例如300mm晶圓)進行作業時,較佳地具有小於0.3ppm/℃之一較小CTE差異,且當使用較小晶圓(例如200mm晶圓)進行作業時,可具有小於1.0ppm/℃之一較大CTE差異。當使用具有一較小CTE差異之接合材料(例如氧化矽及鎳)進行作業時,較佳地具有具一較小CTE差異之晶圓以容許相對多之加熱。
如圖13至圖16中所展示之一撓性夾持配置可用於輔助在加熱期間將經接合晶圓固持在一起同時另外適應歸因於晶圓之CTE差異而在加熱期間將自然發生之晶圓之彎曲。此方法可適用於圖2之具有不同CTE之兩個晶圓之第一次接合(在圖13及圖14中以撓性夾具方法展示),且亦適用於圖4之3層堆疊(其中兩個厚CTE匹配材料接合至夾在兩個較厚層之間之由不同CTE材料組成之較薄層)(在圖15及圖16中以撓性夾具方法展示)。撓性夾持配置由2層撓性材料(例如聚矽氧橡膠薄片)組成,該撓性材料圍封接合材料且在邊緣處密封從而形成密封包封體16。所使用之材料必須能夠耐受待施加至經接合層之溫度。藉由抽空撓性材料包封體內之空間從而圍繞結構之外側均勻地施加大氣壓力而施加壓力(圖13、圖15),及/或藉由將撓性包封體及其內容物放入一高壓腔室17且圍繞該包封體及其內容物均勻地施加所要壓力以將諸層壓縮在一起而施加壓力(圖14、圖16)。在兩種情形中,接著將熱施加至包封體及其內容物以加強接合同時容許經接合堆疊之彎曲以適應CTE失配且防止層破裂。
在歸因於缺乏溫度而尚未製造互連之情況下,接著可將圖4中所展示之晶圓1、2及3之經接合堆疊加熱至比先前更高之溫度以形成3D互連。例如,在晶圓1係GaN/藍寶石,晶圓2係矽CMOS,晶圓3係矽且金屬係鎳的情況下,可能需要300℃至350℃。已藉由使用與晶圓1 CTE匹配之晶圓9替換與晶圓1 CTE失配之晶圓2之一主要部分來實現加熱至一更高溫度之此能力。歸因於CTE失配之此減小,現在此等更高溫度可用於以更高溫度促進電互連。歸因於將使絕緣部分之間之接合破裂或使經接合晶圓破裂之CTE誘發之應變,此等更高溫度先前係不可行的。
在加熱之後,如圖5中所展示可移除晶圓3以留下部分10。部分10可實質上為經減薄部分8或可更厚(例如在晶圓9之一接合層部分未被移除的情況下)或可更薄(例如在經減薄部分8之一接合層部分被移除的情況下)。移除可使用各種方法,例如,背部研磨、化學機械拋光或蝕刻之一者或一組合。當晶圓3係矽時可使用此等技術。例如在晶圓3具有一有用功能(例如作為一封裝功能之部分)的情況下,亦可不移除晶圓3。
現將描述根據本發明之方法之一第二實施例。晶圓11及晶圓12之任一者或兩者可含有延伸穿過晶圓11及晶圓12之任一者或兩者之全部、大部分或一部分之以金屬填充之一通孔13或若干通孔13,分別如圖6及圖7中對於經填充通孔13及14所展示,該等經填充通孔13及14分別延伸穿過晶圓11及晶圓12之約一半且相隔比接合介面處之導電材料更大之一間距。通孔可電連接至接合介面處之導電材料。若晶圓11及晶圓12二者具有經填充通孔,則該等經填充通孔在將晶圓1及晶圓2對準且放置在一起期間可為相對的,如分別對於經填充通孔13及14所展示。通孔13及通孔14亦可為不相對的。
在減薄晶圓12之後,通孔可如圖8中所展示般曝露或可具有防止曝露之晶圓1之一極薄殘留部分。例如,殘留晶圓12之厚度可為小於100微米。歸因於經填充通孔周圍材料之間之膨脹係數差異,對圖8中之結構或經填充通孔上具有一殘留部分之一類似結構進行加熱將導致除先前對於金屬接合所描述外之額外垂直及水平應力。缺乏用於抑制 此應力之鬆弛之一晶圓3可使直接金屬接合介面扭曲且防止適當接合。如圖9中所展示附接或接合一晶圓15可抑制此應力之鬆弛且減緩金屬接合介面之扭曲且促進跨金屬接合介面之3D電互連。如在實施例1中,此晶圓15較佳地由具有與晶圓11之CTE匹配之一CTE之材料製成。
在上述教示之背景下本發明之許多修改及變動係可能的。因此應理解,在隨附申請專利範圍之範疇內,可以不同於本文中特定描述之其他方式實踐本發明。
2‧‧‧晶圓
8‧‧‧經減薄層/較厚層/較薄層/層/經減薄之主要部分/經減薄部分
9‧‧‧第三晶圓/晶圓

Claims (57)

  1. 一種整合具有一第一接觸結構之一第一元件與具有一第二接觸結構之一第二元件之方法,該方法包括:將具有一第一金屬接合結構之一第一元件直接接合至具有一第二金屬接合結構之一第二元件;其中該第一金屬接合結構具有的一表面帶有一絕緣部分和一金屬部分,且該第二金屬接合結構具有的一表面帶有一絕緣部分和一金屬部分;將該第一元件減薄至在經加熱至一溫度以促進該第一直接金屬接合結構與該第二直接金屬接合結構之間之直接連接的情況下將導致該第一元件扭曲之一厚度;將具有厚度以減小該扭曲之一第三元件附接至該經減薄第一元件;加熱經接合第一元件、第二元件及第三元件;以及在該第一金屬接合結構與該第二金屬接合結構之間形成電連接;並且其中該第一金屬接合結構和該第二金屬接合結構業已製備成促進該第一元件中的絕緣部分和金屬部分與該第二元件中相應的絕緣部分和金屬部分進行直接接合。
  2. 如請求項1之方法,其進一步包括移除該第三元件。
  3. 如請求項1之方法,其中該接合包括使用一夾具施加壓力。
  4. 如請求項3之方法,其包括將該第一元件及該第二元件放置於一撓性容器中。
  5. 如請求項4之方法,其包括抽空該撓性容器。
  6. 如請求項4之方法,其包括將壓力施加至該容器。
  7. 一種經接合裝置,其包括:一第一元件,其具有直接金屬接合至具有一第二直接金屬接合結構之一第二元件之一第一直接金屬接合結構;其中該第一金屬接合結構具有的一表面帶有一絕緣部分和一金屬部分,且該第二金屬接合結構具有的一表面帶有一絕緣部分和一金屬部分;該第一元件具有在加熱至製造直接金屬連接所需之一溫度之情況下將引起該第一元件扭曲之一厚度;一第三元件,該第三元件經接合至該第一元件且具有足夠厚度以減小該扭曲以容許該第一直接金屬接合結構與該第二直接金屬接合結構之間之一直接連接;以及該第一金屬接合結構及該第二金屬接合結構之至少一者包括一熱膨脹金屬接觸件;並且其中該第一金屬接合結構和該第二金屬接合結構業已製備成促進該第一元件中的絕緣部分和金屬部分與該第二元件中相應的絕緣部分和金屬部分進行直接接合。
  8. 一種整合具有具一第一絕緣材料及一第一接觸結構之一第一表面之一第一元件與具有具一第二絕緣材料及一第二接觸結構之一第二表面之一第二元件之方法,該方法包括:將該第一絕緣材料直接接合至該第二絕緣材料;移除該第二元件之一部分以留下具有一第一厚度之一剩餘部分;將具有與該第一元件之一熱膨脹係數(CTE)實質上相同之一CTE之一第三元件直接接合至該剩餘部分;及加熱該第一元件及該第三元件及該剩餘部分以使該第一接觸結構及該第二接觸結構直接接觸。
  9. 如請求項8之方法,其進一步包括移除該第三元件。
  10. 如請求項8之方法,其中該第一接觸結構及該第二接觸結構包括鎳,該第一元件包括一GaN/藍寶石基板,該第二元件包括一矽CMOS基板,且該第三元件包括一矽基板,該方法包括:加熱至300℃至350℃之一範圍內之一溫度。
  11. 如請求項8之方法,其中該第一元件包括具有在約500微米至1000微米之厚度範圍內之藍寶石基板之一GaN/藍寶石結構,該第二元件包括500微米至750微米厚度之一矽CMOS基板,該方法包括:加熱至75℃至150℃之一範圍內之一溫度。
  12. 如請求項8之方法,其中該第一接觸結構及該第二接觸結構包括銅,該第一元件包括氧化矽,該第二元件包括氧化矽,該方法包括:加熱至約100℃之一溫度。
  13. 如請求項8之方法,其中該第一元件及該第二元件具有小於0.5ppm/℃之一熱膨脹係數差異。
  14. 如請求項8之方法,其中該第一元件及該第三元件具有實質上相同之熱膨脹係數。
  15. 如請求項14之方法,其中該第二元件具有不同於該第一元件及該第三元件之一熱膨脹係數。
  16. 如請求項8之方法,其中該第一厚度在1微米至10微米之一範圍內。
  17. 如請求項8之方法,其包括:直接接合具有與該第一元件之該CTE之差異在0.3ppm/℃至1.0ppm/℃之一範圍內之一熱膨脹係數的該第三元件。
  18. 如請求項8之方法,其包括: 直接接合具有與該第一元件之該CTE之差異小於約0.3ppm/℃之一熱膨脹係數的該第三元件。
  19. 如請求項8之方法,其包括:直接接合具有與該第一元件之該CTE之差異小於約0.5ppm/℃之一熱膨脹係數的該第三元件。
  20. 如請求項8之方法,其包括:直接接合具有與該第一元件之該CTE之差異小於約1.0ppm/℃之一熱膨脹係數的該第三元件。
  21. 如請求項8之方法,其中該第一接觸結構及該第二接觸結構之至少一者係銅,該方法包括:加熱至150℃至250℃之一範圍內之一溫度。
  22. 如請求項21之方法,其包括:形成該第一接觸結構及該第二接觸結構之至少一者以具有比該等各自第一及第二絕緣材料之一表面低0nm至10nm之一表面。
  23. 如請求項8之方法,其中該第一接觸結構及該第二接觸結構之至少一者係鎳,該方法包括:加熱至250℃至350℃之一範圍內之一溫度。
  24. 如請求項23之方法,其包括:形成該第一接觸結構及該第二接觸結構之至少一者以具有比該等各自第一及第二絕緣材料之一表面低0nm至10nm之一表面。
  25. 如請求項8之方法,其包括:將該第三材料減薄至約0.1nm至1nm之一範圍內之一厚度。
  26. 如請求項8之方法,其包括:將該第三材料減薄至約1nm至10nm之一範圍內之一厚度。
  27. 如請求項8之方法,其包括:將該第三材料減薄至約10nm至100nm之一範圍內之一厚度。
  28. 如請求項8之方法,其包括:將該第三材料減薄至約2nm至20nm之一範圍內之一厚度;及加熱至至少350℃之一溫度。
  29. 如請求項8之方法,其包括:形成連接至該第一接觸結構及該第二接觸結構之至少一者之以導電材料填充之一通孔。
  30. 如請求項8之方法,其中該第一接觸結構及該第二接觸結構之至少一者包括一直接金屬接合結構。
  31. 如請求項8之方法,其中該接合包括使用一夾具施加壓力。
  32. 如請求項31之方法,其包括將該第一元件及該第二元件放置於一撓性容器中。
  33. 如請求項32之方法,其包括抽空該撓性容器。
  34. 如請求項32之方法,其包括將壓力施加至該容器。
  35. 一種經接合結構,其包括:一第一元件,其具有具一第一絕緣材料及一第一接觸結構之一第一表面,該第一元件之一部分被移除;一第二元件,其具有具一第二絕緣材料及一第二接觸結構之一第二表面,該第一絕緣材料直接接合至該第二絕緣材料;一第三元件,其具有與該第一元件之一熱膨脹係數(CTE)實質上相同之一CTE且經直接接合至該第一元件;該第一接觸結構與該第二接觸結構彼此直接連接;及該第一接觸結構及該第二接觸結構之至少一者包括一熱膨脹金屬接觸件。
  36. 一種經接合結構,其包括: 一第一元件,其具有具一第一絕緣材料及一第一接觸結構之一第一表面,該第一元件之一部分被移除;一第二元件,其具有具一第二絕緣材料及一第二接觸結構之一第二表面,該第一絕緣材料直接接合至該第二絕緣材料;一第三元件,其具有與該第一元件之一熱膨脹係數(CTE)實質上相同之一CTE且經直接接合至該第一元件;該第一接觸結構與該第二接觸結構彼此直接連接;及該第一接觸結構及該第二接觸結構之至少一者包括一熱膨脹金屬接觸件。
  37. 如請求項36之經接合結構,其中該第一接觸結構及該第二接觸結構包括鎳,該第一元件包括一GaN/藍寶石基板,該第二元件包括一矽CMOS基板,且該第三元件包括一矽基板。
  38. 如請求項36之經接合結構,其中該第一元件包括具有範圍在約500微米至1000微米厚度之藍寶石基板之一GaN/藍寶石結構,且該第二元件包括500微米至750微米厚度之一矽CMOS基板。
  39. 如請求項36之經接合結構,其中該第一接觸結構及該第二接觸結構包括銅,該第一元件包括氧化矽,且該第二元件包括氧化矽。
  40. 如請求項36之經接合結構,其中該第一元件及該第二元件具有小於0.5ppm/℃之熱膨脹係數之一差異。
  41. 如請求項36之經接合結構,其中該第一元件及該第三元件具有實質上相同之熱膨脹係數。
  42. 如請求項41之經接合結構,其中該第二元件具有不同於該第一元件及該第三元件之一熱膨脹係數。
  43. 如請求項36之經接合結構,其包括:該第三元件具有與該第一元件之該CTE之差異在0.3ppm/℃至 1.0ppm/℃之一範圍內之一熱膨脹係數。
  44. 如請求項36之經接合結構,其包括:該第三元件具有與該第一元件之該CTE之差異小於約0.3ppm/℃之一熱膨脹係數。
  45. 如請求項36之經接合結構,其包括:該第三元件具有與該第一元件之該CTE之差異小於約0.5ppm/℃之一熱膨脹係數。
  46. 如請求項36之經接合結構,其包括:該第三元件具有與該第一元件之該CTE之差異小於約1.0ppm/℃之一熱膨脹係數。
  47. 如請求項36之經接合結構,其中該第一接觸結構及該第二接觸結構之至少一者係銅和鎳中的一者。
  48. 如請求項36之經接合結構,其包括:該第一接觸結構及該第二接觸結構中至少一者具有的一表面比各自的該第一絕緣材料及該第二絕緣材料之一表面低0nm至10nm。
  49. 如請求項36之經接合結構,其包括:該第三材料具有約0.1nm至1nm之一範圍內之一厚度。
  50. 如請求項36之經接合結構,其包括:該第三材料具有約1nm至10nm之一範圍內之一厚度。
  51. 如請求項36之經接合結構,其包括:該第三材料具有約10nm至100nm之一範圍內之一厚度。
  52. 如請求項36之經接合結構,其包括:該第三材料具有約2nm至20nm之一範圍內之一厚度。
  53. 如請求項36之經接合結構,其包括:以導電材料填充形成之一通孔,其連接至該第一接觸結構及 該第二接觸結構之至少一者。
  54. 如請求項53之經接合結構,其包括:該通孔經曝露於該第一材料和該第二材料之一者的一表面上。
  55. 如請求項36之經接合結構,其中該第一接觸結構及該第二接觸結構之至少一者包括一直接金屬接合結構。
  56. 如請求項36之經接合結構,其中該第一元件具有1微米至10微米之一範圍內之一厚度。
  57. 如請求項36之經接合結構,其中該第一元件具有10微米至100微米之一範圍內之一厚度,以及比該第二材料的CTE之小2ppm/℃之一熱膨脹係數。
TW102131402A 2012-08-30 2013-08-30 異質退火方法及裝置 TWI590332B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/599,023 US8735219B2 (en) 2012-08-30 2012-08-30 Heterogeneous annealing method and device

Publications (2)

Publication Number Publication Date
TW201417185A TW201417185A (zh) 2014-05-01
TWI590332B true TWI590332B (zh) 2017-07-01

Family

ID=50184420

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106109147A TWI622102B (zh) 2012-08-30 2013-08-30 異質退火方法及裝置
TW102131402A TWI590332B (zh) 2012-08-30 2013-08-30 異質退火方法及裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106109147A TWI622102B (zh) 2012-08-30 2013-08-30 異質退火方法及裝置

Country Status (3)

Country Link
US (6) US8735219B2 (zh)
TW (2) TWI622102B (zh)
WO (1) WO2014036407A1 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) * 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
WO2014037829A1 (en) * 2012-09-05 2014-03-13 Koninklijke Philips N.V. Laser de-bond of carrier wafer from device wafer
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
CN107104059A (zh) * 2016-02-22 2017-08-29 映瑞光电科技(上海)有限公司 一种键合方法
US9673220B1 (en) 2016-03-09 2017-06-06 Globalfoundries Inc. Chip structures with distributed wiring
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11139283B2 (en) 2018-12-22 2021-10-05 Xcelsis Corporation Abstracted NAND logic in stacks
US11469214B2 (en) 2018-12-22 2022-10-11 Xcelsis Corporation Stacked architecture for three-dimensional NAND
CN111383915B (zh) * 2018-12-28 2021-03-23 中国科学院上海微系统与信息技术研究所 异质键合结构的制备方法
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11094536B2 (en) * 2019-02-28 2021-08-17 Nichia Corporation Method of manufacturing semiconductor elements
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TWI771049B (zh) * 2021-06-08 2022-07-11 環球晶圓股份有限公司 晶圓治具結構及引起高溫潛變變形的處理設備

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3175025A (en) 1963-04-05 1965-03-23 Chemotronics International Inc Process for bonding and/or reticulation
US3423823A (en) * 1965-10-18 1969-01-28 Hewlett Packard Co Method for making thin diaphragms
JPS6130059A (ja) * 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
JPH07112041B2 (ja) * 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US5668057A (en) 1991-03-13 1997-09-16 Matsushita Electric Industrial Co., Ltd. Methods of manufacture for electronic components having high-frequency elements
US5747857A (en) 1991-03-13 1998-05-05 Matsushita Electric Industrial Co., Ltd. Electronic components having high-frequency elements and methods of manufacture therefor
US5451547A (en) 1991-08-26 1995-09-19 Nippondenso Co., Ltd. Method of manufacturing semiconductor substrate
DE69232277T2 (de) 1991-09-12 2002-08-08 Matsushita Electric Ind Co Ltd Elektroakustische hybride integrierte Schaltung und Methode zu deren Herstellung
NO310996B1 (no) 1992-10-05 2001-09-24 Matsushita Electric Ind Co Ltd Elektroakustisk hybrid integrert krets og fremgangsmate til fremstilling av samme
DE69413280T2 (de) 1993-03-15 1999-04-22 Matsushita Electric Ind Co Ltd Akustische Oberflächenwellenanordnung mit laminierter Struktur
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
AU9296098A (en) 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US6320206B1 (en) 1999-02-05 2001-11-20 Lumileds Lighting, U.S., Llc Light emitting devices having wafer bonded aluminum gallium indium nitride structures and mirror stacks
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6379577B2 (en) 1999-06-10 2002-04-30 International Business Machines Corporation Hydrogen peroxide and acid etchant for a wet etch process
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US20020048900A1 (en) 1999-11-23 2002-04-25 Nova Crystals, Inc. Method for joining wafers at a low temperature and low stress
US6502271B1 (en) 2000-01-26 2003-01-07 Speedfam-Ipec Corporation Method and apparatus for cleaning workpieces with uniform relative velocity
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6680495B2 (en) 2000-08-04 2004-01-20 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic OEIC
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6495398B1 (en) 2001-01-05 2002-12-17 Clarisay, Incorporated Wafer-scale package for surface acoustic wave circuit and method of manufacturing the same
US20030022412A1 (en) 2001-07-25 2003-01-30 Motorola, Inc. Monolithic semiconductor-piezoelectric device structures and electroacoustic charge transport devices
US20030030119A1 (en) 2001-08-13 2003-02-13 Motorola, Inc. Structure and method for improved piezo electric coupled component integrated devices
US7351300B2 (en) * 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6975016B2 (en) * 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
WO2003097552A1 (en) * 2002-04-30 2003-11-27 Agency For Science Technology And Research A method of wafer/substrate bonding
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
US7535100B2 (en) 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
AU2003243451A1 (en) 2002-08-28 2004-03-19 Silicon Light Machines Corporation Wafer-level seal for non-silicon-based devices
US6877209B1 (en) 2002-08-28 2005-04-12 Silicon Light Machines, Inc. Method for sealing an active area of a surface acoustic wave device on a wafer
US6846423B1 (en) 2002-08-28 2005-01-25 Silicon Light Machines Corporation Wafer-level seal for non-silicon-based devices
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
FR2857983B1 (fr) 2003-07-24 2005-09-02 Soitec Silicon On Insulator Procede de fabrication d'une couche epitaxiee
US7230512B1 (en) 2003-08-19 2007-06-12 Triquint, Inc. Wafer-level surface acoustic wave filter package with temperature-compensating characteristics
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
FR2868599B1 (fr) 2004-03-30 2006-07-07 Soitec Silicon On Insulator Traitement chimique optimise de type sc1 pour le nettoyage de plaquettes en materiau semiconducteur
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
ATE420461T1 (de) * 2004-11-09 2009-01-15 Soitec Silicon On Insulator Verfahren zum herstellen von zusammengesetzten wafern
JP4720163B2 (ja) 2004-12-02 2011-07-13 株式会社Sumco Soiウェーハの製造方法
JP4841138B2 (ja) 2004-12-24 2011-12-21 日本碍子株式会社 誘電体層及び誘電体素子の製造方法、並びに誘電体素子及び圧電トランス
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
WO2006116030A2 (en) 2005-04-21 2006-11-02 Aonex Technologies, Inc. Bonded intermediate substrate and method of making same
US20060284167A1 (en) 2005-06-17 2006-12-21 Godfrey Augustine Multilayered substrate obtained via wafer bonding for power applications
US7485968B2 (en) * 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
KR101484296B1 (ko) 2007-06-26 2015-01-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제작방법
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
JP5448584B2 (ja) 2008-06-25 2014-03-19 株式会社半導体エネルギー研究所 半導体装置
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8035464B1 (en) 2009-03-05 2011-10-11 Triquint Semiconductor, Inc. Bonded wafer SAW filters and methods
FR2943177B1 (fr) 2009-03-12 2011-05-06 Soitec Silicon On Insulator Procede de fabrication d'une structure multicouche avec report de couche circuit
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2011049215A (ja) 2009-08-25 2011-03-10 Toshiba Corp 半導体装置の製造方法
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
CA2781043A1 (en) 2009-11-16 2011-05-19 Emory University Lattice-mismatched core-shell quantum dots
JP5644096B2 (ja) 2009-11-30 2014-12-24 ソニー株式会社 接合基板の製造方法及び固体撮像装置の製造方法
JP5571988B2 (ja) 2010-03-26 2014-08-13 パナソニック株式会社 接合方法
US8557679B2 (en) 2010-06-30 2013-10-15 Corning Incorporated Oxygen plasma conversion process for preparing a surface for bonding
US8357974B2 (en) 2010-06-30 2013-01-22 Corning Incorporated Semiconductor on glass substrate with stiffening layer and process of making the same
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2965398B1 (fr) 2010-09-23 2012-10-12 Soitec Silicon On Insulator Procédé de collage par adhésion moléculaire avec réduction de desalignement de type overlay
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
KR20120052160A (ko) 2010-11-15 2012-05-23 엔지케이 인슐레이터 엘티디 복합 기판 및 복합 기판의 제조 방법
KR20120077876A (ko) 2010-12-31 2012-07-10 삼성전자주식회사 이종 기판 접합 구조 및 방법
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
US9287351B2 (en) 2011-06-30 2016-03-15 Kyocera Corporation Composite substrate and method for manufacturing same
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9735280B2 (en) 2012-03-02 2017-08-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method for manufacturing semiconductor device, and method for forming oxide film
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
EP3155656A4 (en) 2014-06-13 2018-02-14 Intel Corporation Surface encapsulation for wafer bonding
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9793243B2 (en) 2014-08-13 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer(s) on a stacked structure having a via
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
EP3221884B1 (en) 2014-11-18 2022-06-01 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafers with charge trapping layers and method of manufacturing thereof
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10084427B2 (en) 2016-01-28 2018-09-25 Qorvo Us, Inc. Surface acoustic wave device having a piezoelectric layer on a quartz substrate and methods of manufacturing thereof
EP3417477B1 (de) 2016-02-16 2020-01-29 EV Group E. Thallner GmbH Verfahren zum bonden von substraten
US10177735B2 (en) 2016-02-29 2019-01-08 Avago Technologies International Sales Pte. Limited Surface acoustic wave (SAW) resonator
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
EP3516382A4 (en) 2016-09-20 2020-05-06 Sensor Kinesis Corp. BIOSENSOR FOR SURFACE ACOUSTIC WAVES WITH AN ANALOG FRONT AND DNS-CODED LIBRARIES FOR THE IMPROVED DETECTION LIMIT (LOD) WITH EXEMPLARY DEVICE FOR THIS
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI737811B (zh) 2016-11-25 2021-09-01 日商日本碍子股份有限公司 接合體
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
JP6558355B2 (ja) 2016-12-19 2019-08-14 信越半導体株式会社 Soiウェーハの製造方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
CN110249527B (zh) 2017-02-14 2024-01-23 京瓷株式会社 弹性波元件
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11206007B2 (en) 2017-10-23 2021-12-21 Qorvo Us, Inc. Quartz orientation for guided SAW devices
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US10784219B2 (en) 2017-11-30 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US20190221607A1 (en) 2018-01-14 2019-07-18 Innovative Micro Technology Microfabricated device with piezoelectric substrate and method of manufacture
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10734285B2 (en) 2018-06-28 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
US11424205B2 (en) 2018-06-29 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnect structure and method
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094579A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022147429A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
EP4272249A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same
WO2022187402A1 (en) 2021-03-03 2022-09-09 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022212595A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
EP4315398A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier

Also Published As

Publication number Publication date
TW201727753A (zh) 2017-08-01
US8735219B2 (en) 2014-05-27
US20230207322A1 (en) 2023-06-29
TW201417185A (zh) 2014-05-01
US9184125B2 (en) 2015-11-10
US11631586B2 (en) 2023-04-18
US9698126B2 (en) 2017-07-04
US20160099233A1 (en) 2016-04-07
WO2014036407A1 (en) 2014-03-06
TWI622102B (zh) 2018-04-21
US20140061949A1 (en) 2014-03-06
US20200328193A1 (en) 2020-10-15
US20140061942A1 (en) 2014-03-06
US10777533B2 (en) 2020-09-15
US20170301656A1 (en) 2017-10-19

Similar Documents

Publication Publication Date Title
TWI590332B (zh) 異質退火方法及裝置
JP7007496B2 (ja) 多層3d集積化のダイスタック
EP3391409B1 (en) Increased contact alignment tolerance for direct bonding
US10553562B2 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
KR20230061496A (ko) 상호접속 구조를 갖는 접합 구조체
TWI573223B (zh) 空腔基板保護之積體電路
KR100945800B1 (ko) 이종 접합 웨이퍼 제조방법
KR101426362B1 (ko) 접합 반도체 구조 형성 방법 및 그 방법에 의해 형성된 반도체 구조
US20160126136A1 (en) Semiconductor device and method for manufacturing semiconductor device
TWI445101B (zh) 暫時性半導體結構接合方法及相關經接合的半導體結構
KR101372018B1 (ko) 집적 회로들의 형성 방법들 및 결과적인 구조들
TW201110310A (en) Method for forming an integrated circuit structure and integrated circuit structure
TWI459519B (zh) 降低半導體封裝件之拉伸應力的方法與裝置
TW201342494A (zh) 用於半導體裝置的製造之合成晶圓
US20080277779A1 (en) Microelectronic package and method of manufacturing same
CN107851632A (zh) 用于制造半导体器件的方法及相应的器件
WO2014167867A1 (ja) 積層半導体装置および積層半導体製造方法
JP5489512B2 (ja) 半導体装置の製造方法
TW200841429A (en) IC chip package
WO2017172154A1 (en) METHODS AND STRUCTURES FOR FORMING MICROSTRIP TRANSMISSION LINES ON THIN SILICON CARBIDE ON INSULATOR (SiCOI) WAFERS
TW200305263A (en) Semiconductor chip with protective layer and production process
KR20070070415A (ko) 와이어 본딩을 생략할 수 있는 시스템 인 패키지 방법