TWI543335B - N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構 - Google Patents

N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構 Download PDF

Info

Publication number
TWI543335B
TWI543335B TW102120726A TW102120726A TWI543335B TW I543335 B TWI543335 B TW I543335B TW 102120726 A TW102120726 A TW 102120726A TW 102120726 A TW102120726 A TW 102120726A TW I543335 B TWI543335 B TW I543335B
Authority
TW
Taiwan
Prior art keywords
block
conductor
semiconductor fins
fins
fin
Prior art date
Application number
TW102120726A
Other languages
English (en)
Other versions
TW201413919A (zh
Inventor
維特 莫羅茲
Original Assignee
希諾皮斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 希諾皮斯股份有限公司 filed Critical 希諾皮斯股份有限公司
Publication of TW201413919A publication Critical patent/TW201413919A/zh
Application granted granted Critical
Publication of TWI543335B publication Critical patent/TWI543335B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Description

N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構
本發明係有關積體電路裝置、單元庫、單元架構及用於包含鰭式場效電晶體(FinFET)裝置的積體電路裝置的電子設計自動化工具。
鰭式場效電晶體(FinFET)型電晶體已被說明於1998年之D.Hisamoto等人發表於IEDM的文獻中;以及,2001年N.Lindert等人發表於IEEE Electron Device Letters第487頁的文獻中。隨著低功率及小型佈局的需求愈來愈強,鰭式場效電晶體(FinFET)近來已被接受。在CMOS裝置中,電晶體的N通道及P通道區塊被放置在附近,且於它們之間設置絕緣體以防止閂鎖效應(latch up)、串擾及其它問題。
在設計積體電路時,通常使用標準的功能單元庫。鰭式場效電晶體(FinFET)已經以具有柵格結構的區塊結構來予以實施,其中,在基板上,於第一方向上,以窄間距平行地設置鰭部,並且,閘極係設置在正交方向上,越過鰭 部。使用互補的N通道及P通道電晶體集合以形成個別的功能單元,這些電晶體在鰭部中具有它們的源極、汲極及通道。為了形成功能單元,鰭部有時被切成區段以使其中一功能單元與另一功能單元相隔離。此鰭部的切割造成功能單元中的某些電晶體係位於鰭部的端部上,而其它的電晶體位於鰭部內部中而遠離端部。導因於鰭部上的位置之結構上的差異對於功能單元中的電晶體的特徵會有影響。舉例而言,在鰭式場效電晶體(FinFET)的通道中的應力對於電晶體性能有衝擊。因此,使用應力器以引發所需的應力程度。但是,在鰭部的端部上的電晶體的通道中的應力,亦即,在鰭部被切割之位置中的應力,與位於遠離端部之電晶體的通道中的應力不同。電晶體性能的此變異使得積體電路設計變得複雜。
希望提供鰭式場效電晶體(FinFET)設計架構,以適用於標準功能單元庫的功能單元實施、以及適用於使用具有彈性佈局特點並使電晶體性能差異最小化的鰭式場效電晶體(FinFET)架構之積體電路的實施。
使用端對端鰭式場效電晶體(FinFET)區塊來說明積體電路。積體電路包含基板,並且在基板上具有對齊於第一方向上的第一組半導體鰭部,第一組係配置成用於多個N通道及P通道鰭式場效電晶體(FinFET)的其中一者,以及,配置成用於N通道及P通道鰭式場效電晶體(FinFET) 之另一者的第二組半導體鰭部,並且第一組半導體鰭部和第二組半導體鰭部在基板上可被端對端地對齊。在基板上具有第一側及第二側的區塊間隔離結構使第一及第二組中的半導體鰭部分開。第一組中之鰭部的端部最接近區塊間隔離結構的第一側,並且,第二組中的鰭部的端部最接近區塊間隔離結構的第二側。經圖案化的閘極導體層包含第一閘極導體及第二閘極導體,第一閘極導體延伸經過第一組半導體鰭部中的至少一鰭部,第二閘極導體延伸經過第二組半導體鰭部中的至少一鰭部。
說明適合各式各樣的功能單元的實施之鰭式場效電晶體(FinFET)區塊結構、及用於積體電路設計的鰭式場效電晶體(FinFET)標準功能單元庫的產生。說明鰭式場效電晶體(FinFET)區塊架構用於積體電路設計之部署設計工具的技術、以及電子設計自動化軟體及系統的組件之技術。說明包含包括鰭式場效電晶體(FinFET)區塊的功能單元之積體電路。
210‧‧‧電腦系統
300‧‧‧絕緣基板
301‧‧‧鰭部
302‧‧‧鰭部
303‧‧‧鰭部
305‧‧‧閘極介電層
307‧‧‧閘極導體
310‧‧‧塊體半導體本體
311‧‧‧鰭部
312‧‧‧鰭部
313‧‧‧鰭部
315‧‧‧閘極介電層
316‧‧‧淺溝渠隔離結構
317‧‧‧淺溝渠隔離結構
318‧‧‧閘極導體
402‧‧‧P通道區塊
403‧‧‧N通道區塊
404‧‧‧鰭部
405‧‧‧鰭部
406‧‧‧側部
407‧‧‧側部
410‧‧‧閘極導體
412‧‧‧金屬-0導體
413‧‧‧金屬-0導體
422‧‧‧P通道區塊
423‧‧‧N通道區塊
424‧‧‧鰭部
425‧‧‧鰭部
426‧‧‧隔離結構
427‧‧‧第一端
428‧‧‧第二端
429‧‧‧第二端
430‧‧‧閘極導體
432‧‧‧閘極導體
440‧‧‧隔離結構
442‧‧‧第一側
443‧‧‧第二側
450‧‧‧金屬-0導體
451‧‧‧金屬-0導體
604‧‧‧鰭部
605‧‧‧鰭部
606‧‧‧區域
607‧‧‧區域
610‧‧‧閘極導體
612‧‧‧閘極導體
614‧‧‧閘極導體
620‧‧‧金屬-0導體
622‧‧‧金屬-0導體
623‧‧‧金屬-0導體
624‧‧‧金屬-0導體
625‧‧‧金屬-0導體
630‧‧‧金屬-2導體
631‧‧‧金屬-1導體
704‧‧‧鰭部
705‧‧‧鰭部
708‧‧‧閘極導體
710‧‧‧閘極導體
720‧‧‧金屬-0導體
724‧‧‧金屬-0導體
725‧‧‧金屬-0導體
728‧‧‧金屬-0導體
730‧‧‧金屬-2導體
731‧‧‧金屬-1導體
804‧‧‧鰭部
805‧‧‧鰭部
806‧‧‧鰭部
807‧‧‧鰭部
808‧‧‧鰭部
809‧‧‧鰭部
810‧‧‧閘極導體
812‧‧‧閘極導體
814‧‧‧閘極導體
820‧‧‧金屬-0導體
822‧‧‧金屬-0導體
823‧‧‧金屬-0導體
824‧‧‧金屬-0導體
825‧‧‧金屬-0導體
830‧‧‧金屬-2導體
831‧‧‧金屬-1連接器
904‧‧‧鰭部
904A‧‧‧鰭部
904B‧‧‧鰭部
905‧‧‧鰭部
905A‧‧‧鰭部
905B‧‧‧鰭部
908‧‧‧閘極導體
910‧‧‧閘極導體
920‧‧‧金屬-0導體
920A‧‧‧金屬-0導體
920B‧‧‧金屬-0導體
924‧‧‧金屬-0導體
924A‧‧‧金屬-0導體
924B‧‧‧金屬-0導體
925‧‧‧金屬-0導體
925A‧‧‧金屬-0導體
925B‧‧‧金屬-0導體
928‧‧‧導體
930‧‧‧金屬-2導體
931‧‧‧金屬-1導體
940‧‧‧隔離結構
950‧‧‧應力源結構
951‧‧‧應力源結構
951A‧‧‧應力源結構
951B‧‧‧應力源結構
954‧‧‧閘極介電層
960‧‧‧絕緣填充
970‧‧‧淺溝渠隔離結構
971‧‧‧淺溝渠隔離結構
1324‧‧‧鰭部
1325‧‧‧鰭部
1326‧‧‧端部
1327‧‧‧端部
1330‧‧‧第一閘極導體
1331‧‧‧第二閘極導體
1340‧‧‧隔離結構
1342‧‧‧第一側
1343‧‧‧第二側
1345‧‧‧區塊間隔離結構
1346‧‧‧區塊間隔離結構
1350‧‧‧VDD電力導體
1351‧‧‧VSS電力導體
1360-1‧‧‧區域
1360-2‧‧‧區域
1360-3‧‧‧區域
1362-1‧‧‧區域
1362-2‧‧‧區域
1362-3‧‧‧區域
1363-1‧‧‧區域
1363-2‧‧‧區域
1363-3‧‧‧區域
1365-1‧‧‧區域
1365-2‧‧‧區域
1365-3‧‧‧區域
1655‧‧‧金屬-0導體
1661‧‧‧金屬-2導體
1662‧‧‧金屬-1導體
1663‧‧‧金屬-0導體
1664‧‧‧層間連接器
1665‧‧‧層間連接器
1671‧‧‧層間連接器
1672‧‧‧層間連接器
1673‧‧‧層間連接器
1674‧‧‧層間連接器
1675‧‧‧層間連接器
圖1顯示積體電路設計流程之簡化表示。
圖2A、2B和2C是適用於技術的實施例之電腦系統、以及技術的電路設計和電路實施例之簡化方塊圖。
圖3A及3B是顯示先前技術中習知的鰭式場效電晶體(FinFET)結構之簡化圖。
圖4是適用於標準功能單元庫中的互補式邊對邊鰭式 場效電晶體(FinFET)區塊的簡化佈局圖。
圖4A是可應用至圖4和5的圖例說明。
圖5是適用於標準功能單元庫中的互補式端對端鰭式場效電晶體(FinFET)區塊的簡化佈局圖。
圖6是設置在邊對邊鰭式場效電晶體(FinFET)區塊上的1x反向器的佈局圖。
圖6A是可應用至圖6、7、8及9的圖例說明。
圖7是設置在端對端鰭式場效電晶體(FinFET)區塊上的1x反向器的佈局圖。
圖8是設置在邊對邊鰭式場效電晶體(FinFET)區塊上的3x反向器的佈局圖。
圖9是設置在端對端鰭式場效電晶體(FinFET)區塊上的3x反向器的佈局圖。
圖10-12是自圖9的佈局取得之剖面視圖。
圖13是適用於標準功能單元庫之以鏡像圖樣配置的互補、端對端鰭式場效電晶體(FinFET)區塊的簡化佈局圖。
圖14是功能單元庫的製程之簡化流程圖,包含設計用於功能單元庫的以端對端鰭式場效電晶體(FinFET)區塊為基礎的功能單元。
圖15是用於使用流動功能單元庫的自動化設計製程之簡化流程圖,包含如此處所述的以端對端鰭式場效電晶體(FinFET)區塊為基礎的功能單元。
圖1是積體電路設計流程之簡化表示。藉由此處所有的流程,將瞭解圖1的很多步驟可以結合、平行執行或是以不同順序來予以執行,而不影響達成的功能。在某些情況中,僅有作某些其它改變,步驟的重配置將取得相同結果,在其它情況中,僅有滿足某些條件,步驟的重配置將取得相同結果。
在高階,圖1的處理開始於產品概念(方塊100),並且以EDA(電子設計自動化)軟體設計處理來實現產品概念(方塊110)。當設計被完成時,執行製造處理(方塊150)及封裝和組裝處理(方塊160),最後達成完成的積體電路晶片(結果170)。
EDA軟體設計處理(方塊110)實際上由為了簡明起見而以直線方式顯示的多個步驟112-130所構成。在真正的積體電路設計處理中,特定設計可能必須回溯經過這步驟直到通過某些測試為止。類似地,在任何真正的設計處理中,這些步驟可以用不同次序及組合之形式而發生。因此,藉由前後文內容及一般說明而非用於特定積體電路之特定的、或建議的設計流程來提供此說明。
現在將提供EDA軟體設計處理的組件步驟(方塊110)的簡單說明。
系統設計(方塊112):設計者說明他們要實施的功能;它們執行若是...又怎樣計劃以使功能精緻化;檢查成本;等等。在此階段發生硬體-軟體架構選擇。在此步驟 使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Model Architect、Saber、System Studio、及Design Ware®產品。
邏輯設計及功能驗證(方塊114):在此階段,撰寫用於系統中的模組之高階說明語言(HDL)碼,例如VHDL或Verilog碼,以及,檢查設計的功能準確性。更具體而言,檢查設計以確保其產生正確的輸出,以回應特定輸入刺激。在此步驟可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含VCS、VERA、Design Ware®、Magellan、Formality、ESP、及LEDA產品。
用於測試之合成及設計(方塊116):此處,VHDL/Verilog被轉譯成網路連線表(netlist)。針對該標的技術,網路連線表可被最佳化。此外,進行測試設計及實施,以允許檢查完成的晶片。在此步驟可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品實例包含Design Compiler®、Physical Compiler、Test Compiler、Power Compiler、FPGA Compiler、TetraMAX、及DesignWare®產品。在此階段進行如下所述之用於端對端鰭式場效電晶體(FinFET)區塊的設計最佳化。
網路連線表驗證(方塊118):在此步驟,為了時序限制以及與VHDL/Verilog原始碼的對應性,而檢查網路連線表。在此步驟可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Formality、PrimeTime、及VCS產品。
設計計劃(方塊120):此處,為了時序及頂層路由,建構及分析用於晶片的整個平面佈置圖。在此步驟,可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Astro及IC Compiler產品。在此階段進行端對端鰭式場效電晶體(FinFET)區塊功能單元選取、佈局及最佳化。
實體實施(方塊122):在此步驟,進行配置(電路元件的定位)及路線安排(電路元件的連接)。在此步驟,可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含AstroRail、Primetime、及Star RC/XT產品。舉例而言,使用根據此處所述的端對端鰭式場效電晶體(FinFET)區塊功能單元佈局之端對端鰭式場效電晶體(FinFET)標準功能單元,在此階段實施或最佳化端對端鰭式場效電晶體(FinFET)區塊功能單元佈局、映射及互連配置。
分析及取出(方塊124):在此步驟,在電晶體等級驗證電路功能:這接著允許若是...又怎樣精緻化。在此階段可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Custom Designer、AstroRail、PrimeRail、Primetime、及Star RC/XT產品。
實體驗證(方塊126):在此階段,執行各種檢查功能以確保對於下述的校正:製造、電議題、微影議題、及電路。在此階段可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Hercules產品。
投片試產(tape-out)(方塊127):此階段提供用於微影 用途的掩罩生產的「投片試產(tape-out)」資料,以產生完成的晶片。在此階段可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含CATS(R)系列產品。
解析度強化(方塊128):此階段涉及佈局的幾何操縱以增進設計的製造力。在此階段可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含Proteus/Progen、ProteusAF、及PSMGen產品。
掩罩製備(方塊130):此階段提供掩罩資料製備及掩罩本身的寫入。在此階段可使用之可從Synopsys公司取得的舉例說明之EDA軟體產品包含CATS(R)系列產品。
在上述階段的其中之一或更多階段期間,包含例如階段116至122及130的其中之一或更多階段,使用此處所述的以端對端鰭式場效電晶體(FinFET)區塊為基礎的技術之實施例。而且,端對端鰭式場效電晶體(FinFET)區塊技術提供能夠實施工程變更命令ECO之彈性,包含設計驗證階段期間功能單元的修改。
圖2A是適合技術實施例使用之電腦系統210的簡化方塊圖。電腦系統210典型上包含至少一處理器214,至少一處理器214經由匯流排子系統212而與多個週邊裝置相通訊。這些週邊裝置包含包括記憶體子系統226和檔案儲存子系統228之儲存子系統224、使用者介面輸入裝置222、使用者介面輸出裝置220、及網路介面子系統216。輸入及輸出裝置允許使用者與電腦系統210互動。網路介面子系統216提供包含對通訊網路218的介面等介面給外 部網路,並且經由通訊網路218而被耦合至其它電腦系統中的對應介面裝置。通訊網路218包括很多互連的電腦系統及通訊鏈路。這些通訊鏈路可為有線鏈路、光學鏈路、無線鏈路、或是用於資訊通訊的任何其它機制。雖然在一個實施例中,通訊網路218是網際網路,但是,通訊網路218可為任何適當的電腦網路。
使用者介面輸入裝置222可包含鍵盤、例如滑鼠、軌跡球、觸控墊、或是圖形板等指向裝置、掃描器、整合於顯示器中的觸控螢幕、例如語音辨認系統等音頻輸入裝置、麥克風、及其它型式的輸入裝置。一般而言,使用「輸入裝置」一詞是意圖包含輸入資訊至電腦系統210中或通訊網路218中的所有可能型式的裝置及方式。
使用者介面輸出裝置220可包含顯示子系統、印表機、傳真機、或例如音頻輸出裝置等非視覺顯示器。顯示子系統包含陰極射線管(CRT)、例如液晶顯示器(LCD)等平板裝置、投影裝置、或是用以產生可見影像的某些其它機構。顯示子系統也提供例如經由音頻輸出裝置之非視覺顯示。一般而言,使用「輸出裝置」一詞以包含從電腦系統210輸出資訊至使用者或是至另一機器或電腦系統之所有可能型式的裝置及方式。
儲存子系統224儲存基本程式及資料構成,所述基本程式及資料構成提供此處某些或全部所述的EDA工具之功能,包含端對端鰭式場效電晶體(FinFET)彈性資料庫及應用於資料庫的功能單元開發及使用資料庫的實體及邏輯 設計之工具。這些軟體模組通常由處理器214所執行。
記憶體子系統226典型上包含許多記憶體,該等記憶體包括程式執行期間用於指令及資料儲存的主隨機存取記憶體(RAM)230、以及儲存固定指令的唯讀記憶體(ROM)232。檔案儲存子系統228提供用於程式及資料檔案的持久儲存,並且,可包含硬碟機、磁碟機與伴隨的相關可移除媒體、唯讀光碟(CD-ROM)機、光學驅動器、或可移除式媒體卡匣。實施某些實施例的功能之資料庫及模組可藉由檔案儲存子系統228來予以儲存。
匯流排子系統212提供使電腦系統210的各種組件及子系統如所需地彼此通訊之機構。雖然匯流排子系統212係顯示為單一匯流排,但是,匯流排子系統的替代實施例可以使用多個匯流排。
電腦系統210本身可為任何型式,包含個人電腦、可攜式電腦、工作站、電腦終端、網路電腦、電視機、大型電腦、或是任何其它資料處理系統或使用者裝置。由於電腦及網路的持續變化的本質,圖2A中所述的電腦系統210的說明僅作為說明較佳實施例的特定實例。具有比圖2A中所示的電腦系統更多或更少的組件之很多電腦系統210的其它配置是可能的。
圖2B顯示例如與檔案儲存子系統228相關連及/或與網路介面子系統216相關連的非暫態、電腦可讀取資料儲存媒體等記憶體240,其可包含資料結構,所述資料結構指定包含來自端對端鰭式場效電晶體(FinFET)彈性資料庫 的功能單元、或如下詳述之其它以端對端鰭式場效電晶體(FinFET)區塊為基礎的功能單元之電路設計。在其它實施例中,記憶體240儲存功能單元庫,該功能單元庫包含使用彈性端對端鰭式場效電晶體(FinFET)區塊結構而實施的功能單元。記憶體240可為硬碟機、磁碟機、唯讀光碟、光學媒體、可移式媒體卡匣、或是以依電性或非依電性形式儲存電腦可讀取的資料之其它媒體。記憶體240顯示為儲存電路設計280,舉例而言,電路設計280包含電路設計的HDL說明,電路設計的HDL說明包含由所述的端對端鰭式場效電晶體(FinFET)技術所產生的一或更多個鰭式場效電晶體(FinFET)區塊功能單元。圖2C是方塊圖,表示以包含一或更多個端對端鰭式場效電晶體(FinFET)區塊功能單元、及/或選自鰭式場效電晶體(FinFET)彈性資料庫之所述技術產生的積體電路290。
圖3A及3B分別顯示典型的絕緣體上矽及塊體基板配置中的鰭式場效電晶體(FinFET)結構之簡化顯示。這些基本結構都可被使用於此處所述的端對端鰭式場效電晶體(FinFET)區塊功能單元中。
在圖3A中,多個鰭部301、302、303被配置在絕緣基板300上。如同在絕緣體上矽的積體電路中使用般,絕緣基板300包括在塊體半導體基板上的絕緣材料層,或者,包括例如藍寶石等塊體介面基板材料。鰭部301、302、303包括平行地配置在基板300上的半導體本體,使得它們延伸進入及離開圖3A中的頁面。閘極介電層 305覆蓋側部以及通常覆蓋鰭部301、302、303的頂部。舉例而言,使用金屬或多晶矽實施的閘極導體307延伸經過鰭部及在閘極介電層305上延伸。
圖3B顯示從塊體半導體本體310中凸出的多個鰭部311、312、313,它們有時被稱為本體繫連鰭部。此外,個別的鰭部藉由淺溝渠隔離結構316、317而被分開。閘極介電層315覆蓋鰭部311、312、313。閘極導體318延伸經過鰭部及在閘極介電層315上延伸。
對於圖3A及圖3B的實施例,在閘極導體307、318的任一側上,源極和汲極區(未顯示出)係實施於鰭部中。結果的FET電晶體具有在鰭部中的源極、通道、及汲極區,並且覆蓋於鰭部上的閘極。由於閘極導體覆蓋鰭部的二側部,且結果增加通道的有效寬度,所以,這些電晶體通常被稱為多閘極電晶體。用以實施鰭式場效電晶體(FinFET)的鰭部相當窄。舉例而言,使用具有20nm或更小的等級之寬度的鰭部。由於多閘極閘極結構及窄鰭部寬度,鰭式場效電晶體(FinFET)具有優良的性能特徵及小的佈局面積。
圖4顯示互補的、邊對邊鰭式場效電晶體(FinFET)區塊,其中,鰭式場效電晶體(FinFET)(及其它半導體裝置)可被配置成實施彈性鰭式場效電晶體(FinFET)功能單元庫的功能單元。圖4A是可應用至圖4和圖5的圖例說明,顯示用於鰭式場效電晶體(FinFET)區塊的組件之圖例,所述圖例包含用於N通道和P通道半導體鰭部的圖例、用 於閘極導體的圖例、及用於第一金屬層(金屬-0)的圖例。
圖4中的佈局顯示邊對邊鰭式場效電晶體(FinFET)區塊的重複圖樣,適合實施使用稱為CMOS電晶體之互補的P通道及N通道電晶體的功能單元。該圖樣包含P通道區塊402、及N通道區塊403。隔離結構426使P通道區塊402與N通道區塊403分離。P通道區塊402包含分配給平行地布置於基板上的包含鰭部404之鰭部組的區域。當所有分配的區域都被使用時,在顯示中所示的P通道區塊402中的鰭部組包含七個構件。對於任何給定的鰭式場效電晶體(FinFET)區塊中被分配的區域,鰭部組中的構件數目根據特定實施的需要而改變。如上所述般,該等鰭部可被實施於絕緣層上、或是從下方半導體本體(未顯示出)中凸出。
N通道區塊403包含包括鰭部405的鰭部組,鰭部組的構件係平行地設置在基板上。當所有分配的區域都被利用時,顯示中顯示的N通道區塊403中的鰭部組包含七個構件。雖然圖式於此顯示N通道區塊及P通道區塊具有分配給相等數目的鰭部之區域,但是,技術的實施在不同的區塊中可以使用不同數目的鰭部。對於任何給定的鰭式場效電晶體(FinFET)區塊中被分配的區域,鰭部組中的構件數目根據特定實施的需要而改變。如上所述般,如同P通道區塊般,N通道區塊中的鰭部可被實施於絕緣層上、或是從下方半導體本體(未顯示出)中凸出。
如同所示,圖4的區塊佈局顯示邊對邊鰭式場效電晶 體(FinFET)佈局,其中,最接近隔離結構426的相對立側之鰭部404及405分別具有與隔離結構426相鄰的平行側部406及407。
經圖案化的閘極導體層覆蓋鰭部,並且包含在沿著行而配置之圖中所示的多個鰭式場效電晶體(FinFET)區塊中閘極導體(係顯示具有「閘極」陰影)。可視特定實施而選取行的數目。P通道區塊402包含包括閘極導體410之閘極導體,閘極導體410是經圖案化之閘極導體層的元件,以及配置在區塊402和403中的鰭部組上且與其正交,並延伸經過隔離結構426。在替代實施例中,在各區塊中使用分別的導體,實施閘極導體410,使用覆蓋層中的圖案化金屬層而連接各區塊。
隔離結構426係位於P通道區塊402與N通道區塊403之間。使用隔離結構426以防止導因於寄生電晶體及導因於CMOS功能單元佈局等等的漏電流。在一個實例中,隔離結構426是在半導體基板中的絕緣體填充溝槽,其寬度及/或深度與鰭部區塊內的鰭部之間的溝槽的寬度或深度相同或更大。在某些實施例中,隔離結構包含設計成降低或平衡接近隔離結構的鰭部的側部406和407上的應力。
在本實例中,經圖案化之導體層(金屬-0)與包含閘極導體(例如410)的經圖案化之閘極導體層一起被佈置。金屬-0導體412、413可以是用以連接選取的鰭部至電源(VDD)及接地(VSS)軌的電力導體(power conductor)。在替 代結構中,使用更高層(例如,金屬-1或金屬-2)導體來實施VDD及VSS電力導體,VDD及VSS電力導體接著連接至標準功能單元佈局中的金屬-0導體412和413。
此處所示的電力導體、或電力軌是在經圖案化之導體層中的導體,主要被使用於配送通常稱為VDD或VSS的電源電壓至電路的元件。用於給定區塊的VDD電壓及VSS電壓可以同於或不同於用於另一區塊、或是用於相同積體電路上的其它電路的VDD電壓及VSS電壓。
在此處所述的技術的實施例中,至少一經圖案化的導體層(金屬-1、金屬-2、等等)覆蓋圖案化閘極導體層。在圖4中,為了易於顯示用於鰭式場效電晶體(FinFET)區塊之基本的邊對邊佈局,省略這些圖案化導體層。在第一圖案化導體層中的導體係有利地配置成平行於圖案化閘極導體層中的閘極導體、及與鰭部正交。這便於使用第一圖案化導體層以便沿著相鄰區塊中的行而互連閘極導體及源極/汲極區。
鰭式場效電晶體(FinFET)區塊可以被配置在柵格圖樣上,其中,柵格圖樣具有柵格單元,而對於所應用的積體電路技術,將柵格單元的尺寸製定而可以容納水平及垂直接觸間距,其中,接觸間距在佈局中提供空間給閘極導體或是鰭部、與覆蓋的圖案化導體層之間的互連層連接器。在代表的柵格圖樣中,閘極導體係平行地配置及相互間隔開,使得一個閘極導體落在各柵格單元之內,其讓互連層連接器的水平間距能夠有接觸各閘極導體的空間。而且, 鰭部係平行地配置及相互間隔開,使得一個鰭式場效電晶體(FinFET)落在各柵格單元之內,其讓互連層連接器的垂直間距能夠有接觸各閘極導體之空間。如圖所示,在某些實施例中,連接至閘極導體之間的鰭部之金屬-0連接器可被形成在閘極導體之間,而不增加水平間距需求。在某些實施中,用於柵格單元之水平及垂直間距可以不同,且使用特定製造技術及佈局架構的佈局規格來界定用於柵格單元之水平及垂直間距。
圖5顯示與圖4中的邊對邊鰭式場效電晶體(FinFET)區塊相對之互補的、端對端鰭式場效電晶體(FinFET)區塊,其中,鰭式場效電晶體(FinFET)(及其它半導體裝置)可被配置成實施彈性鰭式場效電晶體(FinFET)功能單元庫的功能單元。圖4A中的圖例說明可應用至圖5中的佈局圖。
圖5中的佈局顯示端對端鰭式場效電晶體(FinFET)區塊的重複圖樣,其係適以實施稱為CMOS鰭式場效電晶體(FinFET)之使用互補的P通道及N通道鰭式場效電晶體(FinFET)之功能單元。該圖樣包含P通道區塊422及N通道區塊423。具有相對立的第一及第二側442和443的隔離結構440使P通道區塊422與N通道區塊423分離。P通道區塊422包含包括鰭部424的鰭部組,鰭部組的構件係平行地設置在基板上。N通道區塊423包含包括鰭部425的鰭部組,鰭部組的構件係平行地設置在基板上。在N通道區塊423中及P通道區塊422中的鰭部係端對端地 配置。因此,舉例而言,在P通道區塊422中的鰭部424具有第一端426及第二端428。第一端係相鄰於或最接近區塊間隔離結構440的第一側422。鰭部424在第一方向上延伸離開區塊間隔離結構440,使得第二端428係在區塊間隔離結構440的遠端。在N通道區塊423中的鰭部425具有第一端427及第二端429。第一端427係相鄰於或最接近區塊間隔離結構440的第二側443。鰭部424在第一方向上延伸離開區塊間隔離結構440,使得第二端428係在區塊間隔離結構440的遠端。
在顯示的P通道區塊422中的鰭部組包含11個構件。在構成給定的鰭式場效電晶體(FinFET)區塊的鰭部組中的構件數目根據特定實施的需求而變。如上所述,鰭部可被實施於絕緣層上、或是從下方半導體本體(未顯示出)中凸出。
顯示中顯示的N通道區塊423中的鰭部組包含11個構件,與用於P通道區塊422的數目相同。雖然圖式於此顯示N通道區塊423及P通道區塊422具有相等數目的鰭部,但是,技術的實施在不同的區塊中可以使用不同數目的鰭部。如上所述般,鰭部可被實施於絕緣層上、或是從下方半導體本體(未顯示出)中凸出。
在本實例中,圖案化導體層(金屬-0)與包含閘極導體(例如430、432)的圖案化閘極導體層一起被佈局。金屬-0導體450、451可以是用以連接選取的鰭部至裝置的其它層中的VDD及VSS電力導體,VDD及VSS電力導體接 著可被連接至標準功能單元佈局中的金屬-0導體450和451。在替代結構中,可使用更高層(例如,金屬-1或金屬-2)導體來實施VDD及VSS電力導體。
由於在給定的佈局面積內取得增進的電晶體性能,所以,鰭式場效電晶體(FinFET)結構是如同所需的。鰭式場效電晶體(FinFET)區塊設計利用鰭式場效電晶體(FinFET)結構,以產生具有「量子化」性能的功能單元,藉由從功能單元連接及斷接鰭部以改變邏輯設計中鰭式場效電晶體(FinFET)的有效通道寬度、及因而改變電晶體強度,能夠控制「量子化」性能。但是,由於在區塊的邊緣上之鰭部上引發的不對稱應力,所以,在如圖4中所示的邊對邊區塊的設計中造成問題。在製造期間,不對稱應力造成結構捲曲及斷裂故障、以及遍及陣列的性能變異。隨著鰭部寬度縮小至20nm之下,這些問題變得更顯著。寬度的進一步縮減開始產生與鰭部的機械穩定性有關的問題,特別是當鰭部二側上隔離寬度或深度不同時。舉例而言,參考圖4,鰭部404在其上方具有窄的隔離結構,但是,在其下具有朝向鰭部405之更寬的隔離結構426。由於在例如HDP氧化物(高密度電漿化學氣相沈積CVD製程)、或SOG氧化物(在玻璃上旋轉塗敷)、或可流動的CVD氧化物(使用可流動材料之CVD製程)等隔離材料中的固有應力,具有不同的寬度及/或深度之隔離結構施加不同的力量於鰭部404的二側上。大的力量不平衡造成鰭部404的變形形成或斷裂,使得電晶體沒有功能。
而且,如圖4所示,在邊對邊區塊中的半導體鰭部常常被實施成每線具有不同數目的鰭式場效電晶體(FinFET)。因此,區塊包含例如鰭部405等更長的半導體鰭部、及例如鰭部415等更短的半導體鰭部。導因於其中之更強或更均勻的應力工程化的可能性,更長的鰭部(例如,405)具有更佳的性能,但是,在更短的鰭部中的電晶體(例如,鰭部415)因其中應力鬆弛的可能性而具有較弱的性能。
圖5中的區塊佈局顯示端對端鰭式場效電晶體(FinFET)佈局,其中,鰭部424及425具有最近隔離結構440的對應側442、443之各別端部。在圖5中所示的佈局中,在P通道區塊422中的鰭部組與N通道區塊423中的鰭部組係端對端地對齊,使得鰭部424和425的外部側彼此對齊。在替代實施中,在P通道區塊422中的鰭部偏離N通道區塊423中的鰭部組,使得鰭部424和425的外部側可以被端對端配置地偏移及在相同方向上延伸。
端對端佈局實質上降低使用邊對邊區塊佈局而引起之上述問題。舉例而言,相較於來自遠端上的電力導體結構之應力,鰭部的端部(例如,鰭部424的端部426)可能遇到最接近區塊間隔離結構的不對稱應力。但是,鰭部的端部被建構成適合吸收應力,而不會衝擊鰭部的結構整體性、不會造成捲曲、不會在區塊中的鰭式場效電晶體(FinFET)的通道上造成顯著的應力變化。而且,如下所述的應力源結構可被形成於鰭部的端部上、或是鰭部的端部與閘極導體之間。此外,例如在圖5上之端對端對齊的鰭 部都具有相同長度,這意指它們都名義上具有相同的應力量並因而它們都在名義上具有相同的性能。這消除強烈的應力近接效應,此效應是導因於不同的鰭部長度而在例如圖4所示的邊對邊鰭部配置中是固有的。
在圖5的佈局中,經圖案化之閘極導體層包含在P通道區塊422上的第一閘極導體430、及在N通道區塊423上的第二閘極導體432。第一閘極導體在P通道區塊422中的至少一鰭部(例如,鰭部424)上延伸。在本實例中,第一閘極導體430係顯示為在所有鰭部上延伸。類似地,第二閘極導體432在N通道區塊423中的至少一鰭部(例如,鰭部425)上延伸。
與在各區塊中包含多個閘極導體(舉例而言,例如閘極導體410等垂直導體)之圖4中所示的邊對邊區塊相反地,圖5顯示包含僅一個閘極導體(例如,水平導體430、432)之端對端區塊。在其它實施例中,在端對端區塊中有一個以上的水平閘極導體。但是,在此處所述的端對端區塊配置中,有利的實施例在各區塊中使用單一閘極導體以及多個端對端鰭部。
圖6顯示1x反向器,其在設置於邊對邊鰭式場效電晶體(FinFET)區塊中的P通道區塊中包括單一拉升(pull-up)電晶體以及在N通道區塊中具有單一拉降(pull-down)電晶體。圖6中所示的反向器在各區塊中僅使用一個電晶體,各電晶體均具有等於鰭部的寬度的一倍之寬度,因而被稱為1x反向器。
圖6A是可應用至圖6、圖7、圖8、及圖9之圖例說明,其顯示用於鰭式場效電晶體(FinFET)區塊的組件之圖例,所述圖例包含用於N通道和P通道半導體鰭部的圖例、用於閘極導體的圖例、及用於第一金屬層(金屬-0)的圖例、用於第二金屬層(金屬-1)的圖例、及用於第三金屬層(金屬-2)的圖例。金屬-1及金屬-2層是圖案化半導體層,覆蓋圖案化閘極導體層。金屬-0層在金屬-1及金屬-2層之下方,以及設於與圖案化閘極導體層相同的積體電路層中。金屬-0層直接接觸鰭部上的源極/汲極區並且直接接觸閘極導體。而且,用於將層互連之例如穿孔等二種型式的層間連接器的符號呈現於圖式中。以帶有從左下角落至右上角落的單一線的方形表示之層間連接器連接第一圖案化導體層(金屬-1)中的導體至鰭部上的源極/汲極區。以帶有「X」交叉線的圖案之方形表示的層間連接器連接第二圖案化導體層(金屬-2)中的導體至下圖案化導體層(例如,金屬-0)或圖案化閘極導體層中的導體。當然,在用以實施此處所述的端對端鰭式場效電晶體(FinFET)技術之很多積體電路技術中,可以使用三個以上的圖案化導體層。
使用具有耦合至金屬-0導體620的汲極端及耦合至金屬-0導體622的源極端的單一鰭部604,設置P通道區塊中的拉升電晶體。金屬-0導體620係連接至金屬-2導體630,在金屬-2導體630供應反向器的輸出訊號。金屬-0導體622係連接至金屬-0導體624,金屬-0導體624接著 係耦合至VDD電力導體。使用具有耦合至金屬-0導體620的汲極端及耦合至金屬-0導體623的源極端的單一鰭部605,設置N通道區塊中的拉降電晶體。金屬-0導體623係連接至金屬-0導體625,金屬-0導體625接著係耦合至VSS電力導體。圖案化閘極導體層包含延伸經過鰭部604及鰭部605之閘極導體610。延伸經過此佈局中的P通道區塊及N通道區塊之閘極導體610係連接至金屬-1連接器631,在金屬-1連接器631供應反向器的輸入。閘極導體612及614是「假閘極」,為了各式各樣的理由而在區塊佈局中使用「假閘極」。由於使用金屬-0導體622、623及620,所以,與這些閘極導體相關連的間距包含在用於柵格單元中的區域中。
在本實例中,N通道區塊及P通道區塊係組構成用於各由P通道區塊中的區域606及N通道區塊中的區域607表示的三個鰭部,以便於高達三個平行的鰭式場效電晶體(FinFET)的實施。但是,1x反向器在各區塊中僅使用一個鰭部;未使用用於這二個增加的鰭部之標準區塊佈局中使用的區域。然而,如同所示之用於使用邊對邊區塊的1x反向器的佈局之總面積以垂直間距的計數表示,其中,一個接觸間距以符號「λ」乘以水平間距的計數表示,也以「λ」表示。如同所見,假定區塊間隔離結構未消耗一個接觸間距以上,實施1x反向器需要有八個垂直接觸間距及三個水平接觸間距。總面積因而為8×3個接觸間距、或是24λ2
與圖6的邊對邊佈局相對地,圖7顯示端對端鰭式場效電晶體(FinFET)區塊中的1x反向器。使用具有耦合至金屬-0導體724的源極端、及耦合至金屬-0導體720的汲極端之鰭部704,設置P通道區塊中的拉升電晶體。金屬-0導體720係連接至金屬-2導體730,在金屬-2導體730供應反向器的輸出訊號。金屬-0導體724係連接至VDD電力導體,VDD電力導體被安排經過其它圖案化導體層。使用具有耦合至金屬-0導體720的汲極端、及耦合至金屬-0導體725的源極端之鰭部705,設置N通道區塊中的拉降電晶體。金屬-0導體725係連接至VSS電力連接器。圖案化閘極導體層包含在P通道區塊中的閘極導體708、以及在N通道區塊中的閘極導體710。閘極導體708及閘極導體710係平行地配置,以及分別交會鰭部704和705。金屬-0導體728從閘極導體708連接至閘極導體710。金屬-0導體728係連接至金屬-1導體731,在金屬-1導體731供應對反向器的輸入。因此,金屬-0導體728是與第一組中的半導體鰭部的其中之一及第二組中的半導體鰭部的其中之一平行、及相鄰的區塊間導體的實施例,其中,區塊間導體連接第一閘極導體至第二閘極導體。金屬-0導體728覆蓋包含在P通道和N通道區塊中的第一及第二組半導體鰭部中的端對端半導體鰭部,以及消耗佈局中的單一半導體鰭部的間距。替代地,金屬-0導體可被設於柵格圖樣中分配給金屬-0區塊間導體、以及半導體鰭部未存在的區域中。
使用端對端鰭式場效電晶體(FinFET)區塊,設置圖7中所示的1x反向器,所述端對端鰭式場效電晶體(FinFET)區塊設置在比使用邊對邊鰭式場效電晶體(FinFET)區塊而設置之圖7的反向器之區域更小的區域中。如同所示,對於4x2個接觸間距的總面積、或是8 λ 2、或是8個柵格單元,圖7的反向器包含垂直方向的四個接觸間距及水平方向的二個接觸間距。本實例顯示使用端對端鰭式場效電晶體(FinFET)區塊佈局,以根據各區塊中三個平行鰭部,使用邊對邊鰭式場效電晶體(FinFET)區塊佈局的佈局面積的三分之一來實施1x反向器。
如圖7中所示之每一個鰭部之各區塊中的一個鰭式場效電晶體(FinFET)的佈局造成鰭式場效電晶體(FinFET)區塊,所述鰭式場效電晶體(FinFET)區塊在Y軸上具有等於接觸間距λ的二倍之鰭式場效電晶體(FinFET)區塊間距(或者稱為區塊間距)。因此,假定區塊間隔離結構實施在單一接觸間距λ之內時,端對端架構的一個電晶體組態可適配設有2 λ的每一個垂直區塊間距的佈局柵格。
圖8顯示使用與圖6中所用相同的邊對邊鰭式場效電晶體(FinFET)區塊佈局之3x反向器的佈局,其在各區塊中設有三個水平鰭部。使用均具有耦合至金屬-0導體820的汲極端及耦合至金屬-0導體822的源極端的鰭部804、806、808,設置P通道區塊中的拉升電晶體。金屬-0導體820係連接至金屬-2導體830,在金屬-2導體830供應反向器的輸出訊號。金屬-0導體822係連接至金屬-0導體 824,金屬-0導體824接著係耦合至VDD電力導體。使用均具有耦合至金屬-0導體820的汲極端及耦合至金屬-0導體823的源極端的單鰭部805、807、809,設置N通道區塊中的拉降電晶體。金屬-0導體823係連接至金屬-0導體825,金屬-0導體825接著係耦合至VSS電力導體。圖案化閘極導體層包含閘極導體810,閘極導體810延伸經過P通道區塊中的鰭部804、806、808、以及延伸經過N通道區塊中的鰭部805、807、809。延伸經過此佈局中的P通道區塊及N通道區塊之閘極導體810係連接至金屬-1連接器831,在金屬-1連接器831供應反向器的輸入。閘極導體812及814是「假閘極」。由於使用金屬-0導體822、823及820,所以,與這些閘極導體相關連的間距包含在用於柵格單元中的區域中。
在此實例中,使用完全部署標準區塊的鰭部之邊對邊區塊之3x反向器的佈局之總面積包含八個垂直接觸間距和三個水平接觸間距。總面積因而為8×3個接觸間距、或是24 λ 2、或是24個柵格單元。
圖9顯示與圖8的邊對邊佈局相對之端對端鰭式場效電晶體(FinFET)區塊中的3x反向器佈局。使用均具有耦合至對應的金屬-0導體924、924A、924B的源極端及耦合至對應的金屬-0導體920、920A、920B的汲極端的鰭部904、904A、904B,設置P通道區塊中的拉升電晶體。金屬-0導體920、920A、920B係連接至金屬-2導體930,在金屬-2導體930供應反向器的輸出訊號。金屬-0 導體924、924A、924B係連接至VDD電力導體,VDD電力導體被安排經過其它圖案化導體層。使用均具有耦合至對應的金屬-0導體920、920A、920B的汲極端及耦合至對應的金屬-0導體925、925A、925B的源極端的鰭部905、905A、905B,設置N通道區塊中的拉降電晶體。金屬-0導體925、925A、925B係連接至VSS電力導體。圖案化閘極導體層包含P通道區塊中的閘極導體908、及N通道區塊中的閘極導體910。閘極導體908及閘極導體910係平行地配置。閘極導體908越過P通道區塊中的鰭部904、904A、904B。閘極導體910越過N通道區塊中的鰭部905、905A、905B。金屬-0導體928從閘極導體908連接至閘極導體910。金屬-0導體928係連接至金屬-1導體931,在金屬-1導體931供應反向器的輸入。
使用端對端鰭式場效電晶體(FinFET)區塊,設置圖9中所示的3x反向器,這要求的面積遠小於使用邊對邊鰭式場效電晶體(FinFET)區塊設置的圖8的面積。如同所示,對於4x4個接觸間距、或是16 λ 2的總面積,圖9的反向器包含四個垂直方向上的接觸間距和四個水平方向上的接觸間距。本實例顯示使用端對端鰭式場效電晶體(FinFET)區塊佈局,以根據各區塊中三個平行的邊對邊鰭部,使用邊對邊鰭式場效電晶體(FinFET)區塊佈局的佈局面積的三分之二,以實施3x反向器。使用端對端鰭式場效電晶體(FinFET)區塊取代邊對邊鰭式場效電晶體(FinFET)區塊而取得的面積節省取決於被形成的特定單 元,以及容易隨著單元尺寸增加而消失。對於反向器,面積的節省是使用的鰭部的數目、在與端對端區塊相比之邊對邊區塊中被配給面積的鰭部的數目、以及其面積係分配在端對端佈局中的閘極導體的數目之函數。在例如圖7的1x-反向器等較小的單元的佈局中,比某些較大的單元取得更大的面積節省,實施端對端架構。因此,期望技術用以實施給定的電路,其中,在小至邊對邊實施例所需的面積的一半之面積中,有顯著數目的小單元。取得這些面積節省,也同時增加鰭部的機械穩定度,以及降低不希望的應力近接效應。
圖7以及圖9顯示用以指定鰭式場效電晶體(FinFET)功能單元庫中的功能單元之結構的柵格佈局。柵格佈局具有柵格單元,柵格單元提供分配給要用於功能單元實施之鰭式場效電晶體(FinFET)的特徵之佈局的面積。如上所述,柵格單元的尺寸根據水平及垂直接觸間距、或是根據電晶體中使用的其它特徵的尺寸。因此,柵格單元是如此所述的鰭式場效電晶體(FinFET)區塊的特徵之佈局中的面積的單位。另一方面,此處所述的功能單元是電路,其包含儲存在使用鰭式場效電晶體(FinFET)區塊實施的功能單元庫中的鰭式場效電晶體(FinFET)。
參考圖9,第一區塊包含配置在具有第一及第二軸(亦即,Y軸及X軸)的柵格圖樣上的第一組半導體鰭部(904、904A、904B),在第一組中的半導體鰭部與柵格的Y軸係平行地對齊,以及具有X軸間距。第二區塊包含配 置在柵格圖樣上的第二組半導體鰭部(905、905A、905B),在第二組中的鰭部與柵格的Y軸係平行地對齊,以及具有X軸間距。如上所述,Y軸和X軸間距在圖上都以λ來予以標示,但是,在某些實施中都具有不同的尺寸。圖案化閘極導體層包含與第一及第二組鰭部中的鰭部交會的閘極導體,閘極導體係配置在與柵格的X軸平行的線上。多個圖案化導體層(金屬-0、金屬-1、金屬-2)包含一或更多個導電導體。多個層間連接器包含導體,所述導體係配置成連接半導體鰭部、閘極元件、及多個圖案化導體層中的導體。在柵格圖樣上的柵格單元具有Y軸間距和X軸間距。Y軸和X軸間距的尺寸提供至少層間連接器要求的面積,或者提供限定柵格單元尺寸的鰭式場效電晶體(FinFET)的特徵要求的面積。在第一及第二組中的半導體鰭部沿著X軸乘X軸間距相間隔。在第一及第二組中的半導體鰭部具有的長度與佈局架構中的Y軸間距大約相同。
而且,區塊間隔離架構(未顯示)具有的寬度與本實例中的Y軸間距相同。在其它實施例中,區塊間隔離結構具有的寬度是Y軸間距的整數倍、或者是Y軸間距的非整數倍。
圖9包含剖面標誌(indicator)10-10,以表示經過圖10中所示的鰭部904、905的剖面之垂直線,剖面標誌11-11表示經過圖11中所示的N通道區塊中的電晶體的汲極端之鰭部905、905A、905B的剖面之水平線,以及,剖面 標誌12-12表示沿著圖12中所示的P通道區塊中沿著閘極導體908的剖面之水平線。
圖10以簡化的剖面來顯示來自圖9的佈局之P通道區塊中的第一鰭部904、以及N通道區塊中的第二鰭部905,其中,鰭部904和鰭部905係端對端地配置。包括絕緣體填充溝槽的隔離結構940使鰭部904與鰭部905分開。閘極導體908和910覆蓋鰭部904、905上的通道區,以閘極介電層而將它們與鰭部分離。金屬-0導體920從鰭部904上包含應力源結構950的汲極連接至鰭部905上包含應力源結構951的汲極。由於鰭式場效電晶體(FinFET)的源極和汲極端作為源極或汲極的角色取決於功能單元的配置而不是取決於它們在鰭式場效電晶體(FinFET)結構上的位置,所以,於此為了說明,它們被稱為「源極/汲極區」。應力源結構950併入於鰭部904中,並且在電晶體的通道區中引發應力。用於P通道鰭式場效電晶體(FinFET)的應力源結構950是晶格失配結構,例如以p型摻雜而形成汲極之磊晶生長的矽-鍺晶體。用於N通道鰭式場效電晶體(FinFET)的應力源結構951是晶格失配結構,例如以n型摻雜而形成汲極之磊晶生長的矽-碳晶體。絕緣填充960顯示為遮蓋鰭部、閘極導體908和910及金屬-0導體920。金屬-0導體924和925顯示在剖面的邊緣,耦合至形成於鰭部的源極端上的應力源結構,應力源結構類似地在通道區中引發應力。
圖11以簡化剖面顯示N通道區塊的汲極區中的鰭部 結構。如同所示,鰭部905、905A和905B在汲極區中具有形成在鰭部905、905A、905B的凹部中之應力源結構951、951A、951B。淺溝渠隔離結構970、971使鰭部分離。金屬-0導體920、920A及920B覆蓋及接觸包含應力源結構的汲極區。在本實例中的鰭部905、905A、905B從P型基板1000中凸出。在汲極區中施加N型摻雜,以便在N通道區塊中形成N通道裝置。
圖12顯示在P通道區塊中的閘極導體908之下的鰭部的結構。如同所示,鰭部904、904A、及904B係形成在P型基板1000中的N型井中並自其中凸出。淺溝渠隔離結構970、971使鰭部分離。閘極介電層954覆蓋淺溝渠槽隔離結構的上表面上方的鰭部的側部及頂部。閘極導體908纏繞鰭部的側部及頂部以形成鰭式場效電晶體(FinFET)結構。
圖13顯示根據具有一個電晶體配置的端對端佈局架構之鰭式場效電晶體(FinFET)陣列的實施例,其中,鰭部各具有配置成用於僅一個鰭式場效電晶體(FinFET)之長度。各配置成用於一個電晶體的鰭部的長度係配置在用於一個源極區、一個通道區、及一個汲極區上的佈局柵格上。用於此一個電晶體組態中的鰭部組的佈局柵格可被設立成允許僅一個閘極導體越過各鰭部、以及允許二個接觸,例如各源極和汲極區中的一個金屬-0接觸。舉例而言,參考圖7,這造成具有等於接觸間距λ的二倍之垂直區塊間距之鰭式場效電晶體(FinFET)區塊。所以,假定區 塊間隔離結構實施在單一接觸間距之內,端對端架構的一個電晶體組態適配於具有2 λ的垂直區塊間距之佈局柵格中。
在端對端架構的一個電晶體組態的某些實施例中,整個陣列中的鰭式場效電晶體(FinFET)的結構非常均勻。結果,鰭式場效電晶體(FinFET)的性能更均勻,使得依靠架構的電路設計在積體電路上的裝置之間具有減小的變異。
在圖13中的佈局顯示以鏡像配置之端對端鰭式場效電晶體(FinFET)區塊的可重複圖樣,以共用與用於電力導體的接觸或對鰭部的電力連接相關連的間距,支援高密度佈局的單元庫。
圖13中的圖樣在佈局上依序地包含N通道區塊1、P通道區塊1、P通道區塊2、N通道區塊2、N通道區塊3、及P通道區塊3。區塊間隔離結構係形成在N通道區塊1與P通道區塊1之間、在P通道區塊2與N通道區塊2之間、以及在N通道區塊3與P通道區塊3之間。用於VSS的電力導體係設置在N通道區塊1的頂部上、以及在N通道區塊2與N通道區塊3之間。用於VDD的電力導體係設置在P通道區塊1與P通道區塊2之間、以及在P通道區塊3的端部上。為了積體電路的合成,在強化的佈局柵格上,垂直地及水平地重覆所述圖樣。
為了說明佈局中的某些均勻結構,而參考P通道區塊2及N通道區塊2、以及隔離結構1340。隔離結構1340具有相對立的第一及第二側1342、1343,並且使P通道 區塊2及N通道區塊2分開。P通道區塊2包含包括鰭部1324的鰭部組,鰭部的構件係平行地設置於基板上。在P通道區塊2中的鰭部組具有相鄰於隔離結構1340的第一側1342的最近端(例如,在鰭部1324上的端部1326)。在本實例中,在P通道區塊2中的鰭部組中的鰭部(例如,在鰭部1324上的端部1328)接觸金屬-0 VDD電力導體1350、或是接觸另一接觸結構,以及延伸經過相鄰的P通道區塊1。
N通道區塊2包含包括鰭部1325的鰭部組,鰭部的構件係平行地設置於基板上。在N通道區塊2中的鰭部組具有相鄰於隔離結構1340的第二側1343的最近端(例如,在鰭部1325上的端部1327)。在本實例中,在N通道區塊2中的鰭部組中的鰭部(例如,在鰭部1325上的端部1326)接觸金屬-0 VSS電力導體1351、或是接觸另一接觸結構,以及延伸經過相鄰的N通道區塊3。
如上所述,在P通道區塊2及N通道區塊2中的鰭部上的源極和汲極區包含應力源(未顯示於圖13中),例如晶格失配磊晶生長的半導體元件,應力源在鰭式場效電晶體(FinFET)的通道區中引發應力。
在圖13的配置中,P通道區塊2包含鰭部組,其中,所有的P通道鰭式場效電晶體(FinFET)具有均勻的結構。由於均勻結構,鰭式場效電晶體(FinFET)的尺寸及區塊內的支援電路在合理的製造容許度內具有相同的尺寸。類似地,N通道區塊2包含鰭部組,其中,所有的N通道 鰭式場效電晶體(FinFET)具有均勻的結構。所以,舉例而言,在P通道區塊2中的鰭部組中所有的鰭部具有如圖10中所示的均勻結構,包含在各端部上之均勻的應力源設計、在源極和汲極上之均勻的金屬接觸設計、在應力源之間的單閘極導體、在源極和汲極上的接觸之間相同的距離、相同的鰭部寬度及鰭部高度、以及均勻的區塊間隔離結構設計。由於均勻結構的結果,鰭式場效電晶體(FinFET)具有相同的設計及相同的尺寸,並且,區塊中的鰭式場效電晶體(FinFET)具有動態特徵,例如在通道中引發的應力,而在整個區塊中非常緊縮的變異範圍。
因此,圖13顯示結構的實例,其中,第一組半導體鰭部(P通道區塊2)的構件具有配置成用於在各區塊中形成單一鰭式場效電晶體(FinFET)之長度。在本實例中,各半導體鰭部延伸通過相同型式的二個區塊(例如,P通道區塊1至P通道區塊2,以及N通道區塊2至N通道區塊3)。延伸通過P通道區塊2至P通道區塊1的半導體鰭部的一端(例如,1324)相鄰於區塊間隔離結構1340,而另一端相鄰於區塊間隔離結構1345。在本配置中,在各P通道區塊中的鰭式場效電晶體(FinFET)(例如,P通道區塊2)包含在區塊間隔離結構1340與第一閘極導體1330之間的第一均勻結構(在區域1360-2中)、以及在VDD電力導體1350(或其它金屬-0結構)與閘極導體1330之間的第二均勻結構(在區域1363-2中)。在P通道區塊1中的鰭式場效電晶體(FinFET)也具有均勻的結構,以相對於P通道區 塊2的鰭式場效電晶體(FinFET)之鏡像配佈局配置。延伸通過N通道區塊2及N通道區塊3的半導體鰭部的一端(例如,1325)相鄰於區塊間隔離結構1340,而另一端相鄰於區塊間隔離結構1346。在本配置中,在各N通道區塊中的鰭式場效電晶體(FinFET)(例如,N通道區塊2)包含在區塊間隔離結構1340與第二閘極導體1331之間的第三均勻結構(在區域1362-2中)、以及在VSS電力導體1351(或其它金屬-0結構)與第二閘極導體1331之間的第四均勻結構(在區域1365-2中)。如上所述,第一、第二、第三及第四均勻結構包含應力源。
在配置成如圖13中所示的多個其它區塊中,可以拷貝P通道區塊2及N通道區塊2中的結構。因此,在區域1360-1與1360-3中在鰭部的端部與對應閘極導體之間的結構與區域1360-2中的結構是均勻的,但是,在區域1360-2中的那些結構與區域1360-1及1360-3中的那些結構成鏡像地設置。類似地,在區域1363-1與1363-3中對應的金屬-0電力導體與對應閘極導體之間的結構與區域1363-2中的結構是均勻的。區域1362-1與1362-3中的結構與區域1362-2中的結構是均勻的。區域1365-1與1365-3中的結構與區域1365-2中的結構是均勻的。
在圖13中,P通道區塊與N通道區塊係配置成用於電力導體與區塊間隔離結構之間各半導體鰭部上的單一鰭式場效電晶體(FinFET)。在其它實施例中,P通道區塊與N通道區塊係配置成用於電力導體與區塊間隔離結構之間 各半導體鰭部上的一個以上的鰭式場效電晶體(FinFET),並保留從遍佈佈局的結構之均勻性取得的優點。在區塊間隔離結構之間的給定鰭部上具有多於二個的閘極元件之某些實施例中,耦合至給定的鰭部上之特別的鰭式場效電晶體(FinFET)之電力導體係設於沿著鰭部的任意處,使用層間連接器以垂直地連接至圖案化金屬導體,舉例而言,所述圖案化金屬導體是作為例如位於佈局上它處的電力導體或是作為電力導體的連接。在節省空間的資料庫單元的實施中,特別是對於更小的資料庫單元,單一鰭式場效電晶體(FinFET)達成效率。在某些實施中,單一鰭式場效電晶體(FinFET)區塊及多鰭式場效電晶體(FinFET)區塊係配置在單一積體電路上。而且,在某些實施中,端對端鰭式場效電晶體(FinFET)區塊與邊對邊鰭式場效電晶體(FinFET)區塊的結合係配置在單一積體電路上。
圖14是用於單元庫之設計以鰭式場效電晶體(FinFET)區塊為基礎的單元之處理的簡化流程圖。舉例而言,以單元設計者使用的互動式軟體工具來執行方法,以產生單元庫。可依特定設計所需,修改步驟的次序。根據簡化的流程圖,選取要包含在單元庫中的功能單元(1400)。此功能單元可為如上所述的反向器、正反器、邏輯閘、邏輯區塊或其它單元結構。接著,假定以CMOS技術用於N通道及P通道裝置,指定鰭式場效電晶體(FinFET)區塊,包含端對端區塊、及選加的邊對邊區塊(1401)。使用者輸入指定單元中物件的形狀及位置(例如,單元邊界、電力導體 的位置及寬度、閘極、主動區)等等。對於端對端區塊,鰭部被視為以行來予以配置。然後,指定圖案化閘極導體層而以列來形成覆蓋單元中將使用的鰭部的閘極(1402)。然後,圖案化導體層被指定,以建立適當的互連,較佳包含具有以行配置之導體的層、以及具有以列配置之導體的層(1403)。多個圖案化導體層包含電力導體。然後,指定層間連接,以將連接定位於鰭部、閘極導體及一或更多個圖案化導體層中的導體之間(1404)。在此方法中產生的規格包括以代表元件的指定平面形狀之GDS II格式資料庫檔案、或其它電腦可讀取格式實施的佈局檔案。然後,指定的單元被儲存在用於積體電路設計的單元庫中(1405)。重複處理以產生包含實施不同功能之大量標準單元的單元庫。
圖15是用於代表設計自動化處理的流程圖,其可實施成由例如圖2表示的系統執行的邏輯,包含具有使用此處所述的至少一端對端鰭式場效電晶體(FinFET)區塊實施的單元之鰭式場效電晶體(FinFET)區塊庫。根據處理的第一步驟,例如網路連線表等界定電路說明的資料結構通過資料處理系統(1500)。儲存在資料庫中或與資料處理系統耦合之其它電腦可讀取的媒體中的單元庫,包含如此處所述的以端對端鰭式場效電晶體(FinFET)區塊為基礎的單元,所述單元庫由資料處理系統存取,以及被用以使庫中的單元與電路說明的元件相匹配(1501)。接著配置匹配的單元以及安排其用於積體電路佈局的路徑(1502)。接著, 執行設計驗證與測試(1503)。最後,修改端對端鰭式場效電晶體(FinFET)區塊單元以最佳化用於電路的時序或是電力規格(1504)。鰭式場效電晶體(FinFET)區塊單元的修改包括造成圖案化導體層中的導體改變之掩罩改變、以及在層間連接器的圖型改變,以改變特定電晶體中使用的鰭部的數目。在某些情況中完成這些改變,而未改變單元所處的區塊所佔據的積體電路上的面積。
上述的鰭式場效電晶體(FinFET)區塊架構用以產生包括多個以端對端鰭式場效電晶體(FinFET)區塊為基礎的單元之彈性庫。
使用如此處所述的隔離結構,能避免彎曲或捲曲鰭部的問題。
此處所述的鰭式場效電晶體(FinFET)區塊以N通道區塊及P通道區塊重複的圖樣配置,允許在特定區塊之上及之下的區塊中使用互補部份,彈性實施CMOS電路元件,其中,至少中央區塊包含覆蓋區的多個電力導體。
此處所述的鰭式場效電晶體(FinFET)區塊架構允許以彈性佈局策略來作非常密集的面積使用。此技術可適用於閘陣列、現場可編程閘陣列、「閘海」架構的實施及其它高密度和/或高性能積體電路結構的實施。
正交圖型結構的彈性佈局使得此處所述的端對端鰭式場效電晶體(FinFET)區塊對於積體電路設計及製造期間設計驗證處理期間,為了尺寸改變、或其它修改而實施工程變更單是理想的。
可以以混合區塊高度及區塊寬度來實施此處所述的鰭式場效電晶體(FinFET)區塊架構,使得可視特定設計目的的需求而使用可變尺寸區塊。
一般而言,使用此處所述的鰭式場效電晶體(FinFET)區塊架構,而能夠產生以鰭式場效電晶體(FinFET)區塊為基礎的彈性庫。在此庫中,標準單元係由「軟巨集」所組成,軟巨集係聚集它們下方的元件準確位置有關的某些彈性。不似平面CMOS結構,其中,單元的調整或修改的粒度是整個電晶體,在此處所述的鰭式場效電晶體(FinFET)區塊架構中,粒度是鰭部。使用平行配置於區塊中的鰭部子集合以設計鰭式場效電晶體(FinFET)區塊結構能提供設計彈性。
庫包括利用鰭式場效電晶體(FinFET)區塊中可取得的鰭部的子集合之多個以鰭式場效電晶體(FinFET)區塊為基礎的功能單元,留下空間以用於不改變佈局的面積之最佳化程序。將最小粒度應用至用於沿著貫穿水平鰭部區塊的行之閘極導體的區塊中的單一鰭部,而非區塊中的所有鰭部,以設計庫。
此處所述的端對端鰭式場效電晶體(FinFET)區塊佈局利用鰭式場效電晶體(FinFET)庫區塊的量化閘極寬度,並實質地減少來自不對稱結構的近接性之電晶體性能變異以及實質地減少導因於尺寸縮減的機械穩定度。此外,端對端鰭式場效電晶體(FinFET)區塊佈局允使形成較窄鰭部的形成,藉以降低電晶體的關閉狀態漏洩。此外,端對端鰭 式場效電晶體(FinFET)區塊佈局能將使用區塊實施的電路所消耗的晶片面積減少一半等級的數量。
雖然參考上述較佳實施例及實例以揭示本發明,但是,須瞭解,這些實例是說明性的而非限定性的。可以思到,習於此技藝者容易作出修改及結合,這些修改及結合是在本發明的精神及後附申請專利範圍的範圍之內。
422‧‧‧P通道區塊
423‧‧‧N通道區塊
424‧‧‧鰭部
425‧‧‧鰭部
426‧‧‧隔離結構
427‧‧‧第一端
428‧‧‧第二端
429‧‧‧第二端
430‧‧‧閘極導體
432‧‧‧閘極導體
440‧‧‧隔離結構
442‧‧‧第一側
443‧‧‧第二側
450‧‧‧金屬-0導體
451‧‧‧金屬-0導體

Claims (21)

  1. 一種積體電路,包含:基板;第一組半導體鰭部,係對齊於該基板上的第一方向上;第二組半導體鰭部,係對齊於該基板上的該第一方向上;在該基板上的區塊間隔離結構,具有第一側及第二側,且其中,該第一組中的半導體鰭部具有最接近該區塊間隔離結構的第一側之端部以及該第二組中的半導體鰭部具有最接近該區塊間隔離結構的第二側之端部;以及經圖案化之閘極導體層,包含延伸越過該第一組半導體鰭部中至少一鰭部的第一閘極導體,以及延伸越過該第二組半導體鰭部中至少一鰭部的第二閘極導體。
  2. 如申請專利範圍第1項之積體電路,其中,該第二組中的半導體鰭部與該第一組中的半導體鰭部係端對端地對齊。
  3. 如申請專利範圍第1項之積體電路,包含:至少一圖案化導體層,包含與該第一組中的半導體鰭部的其中之一以及該第二組中的半導體鰭部的其中之一相平行及相鄰的區塊間導體,該區塊間導體連接該第一閘極導體至該第二閘極導體。
  4. 如申請專利範圍第1項之積體電路,包含:至少一圖案化導體層,包含區塊間導體,該區塊間導 體係配置成連接該第一組中的第一半導體鰭部至該第二組中的第二半導體鰭部,其中,該第二半導體鰭部與該第一半導體鰭部係端對端地對齊。
  5. 如申請專利範圍第1項之積體電路,包含:多個圖案化導體層以及層間連接器,在該多個圖案化導體層中的一或更多個導電導體以及該層間導體係配置成連接該第一組中的半導體鰭部至該第二組中的半導體鰭部、配置成連接該第一閘極導體至該第二閘極導體、以及配置成連接電力導體至該第一及第二組中的其中之一中的至少一半導體鰭部。
  6. 如申請專利範圍第1項之積體電路,其中,該第一及第二組半導體鰭部包含電晶體通道、源極和汲極,以及包含在該源極和汲極上的應力源。
  7. 如申請專利範圍第1項之積體電路,其中,最接近該第一組半導體鰭部中的至少一半導體鰭部上的該區塊間隔離結構的第一側之該端部包含在該端部上或是在該端部與該第一閘極導體之間的應力源。
  8. 如申請專利範圍第1項之積體電路,其中,最接近該第一組半導體鰭部中的至少一半導體鰭部上的該區塊間隔離結構的第一側之該端部包含在該端部上或是在該端部與該第一閘極導體之間的第一應力源;以及,最接近該第二組半導體鰭部中的至少一半導體鰭部上的該區塊間隔離結構的第二側之該端部包含在該端部上或是在該端部與該第二閘極導體之間的第二應力源。
  9. 如申請專利範圍第1項之積體電路,包含與該第一及第二組半導體鰭部耦合的電力連接器。
  10. 如申請專利範圍第1項之積體電路,其中,該第一及第二組中的半導體鰭部具有小於20nm的寬度。
  11. 如申請專利範圍第1項之積體電路,其中,該第一組半導體鰭部的構件係配置成用於單一鰭式場效電晶體(FinFET)的形成,設有相鄰於該區塊間隔離結構的第一源極/汲極區及第二源極/汲極區,並且包含第一均勻結構及第二均勻結構,該第一均勻結構包含它們的第一源極/汲極區,該第二均勻結構包含它們的第二源極/汲極區。
  12. 如申請專利範圍第11項之積體電路,其中,該第二組半導體鰭部的構件係配置成用於單一鰭式場效電晶體(FinFET)的形成,設有相鄰於該區塊間隔離結構的第一源極/汲極區及第二源極/汲極區,並且包含第三均勻結構及第四均勻結構,該第三均勻結構包含它們的第一源極/汲極區,該第四均勻結構包含它們的第二源極/汲極區。
  13. 如申請專利範圍第12項之積體電路,其中,該第一、第二、第三及第四均勻結構包含應力源。
  14. 如申請專利範圍第1項之積體電路,其中,該第一組半導體鰭部的構件係配置成用於多個鰭式場效電晶體(FinFET)中各電晶體的形成。
  15. 一種單元庫的製造方法,包括:指定基本結構,該基本結構包括:第一區塊,包含在基板上之第一方向上對齊的 第一組半導體鰭部;第二區塊,包含在該基板上之第一方向上對齊的第二組半導體鰭部;區塊間隔離結構,在該基板上之該基板的第三區塊中,具有相鄰於該第一區塊的第一側及相鄰於該第二區塊的第二側,其中,該第一組中的半導體鰭部具有最接近該區塊間隔離結構的第一側之端部以及該第二組中的半導體鰭部具有最接近該區塊間隔離結構的第二側之端部;指定使用該基本結構的單元,該單元包括下述元件:經圖案化之閘極導體層,包含延伸越過該第一組半導體鰭部中之至少一鰭部的第一閘極導體、以及延伸越過該第二組半導體鰭部中之至少一鰭部的第二閘極導體;多個圖案化導體層,在該多個圖案化導體層中的一或更多個導電導體係配置成連接該第一組中的半導體鰭部至該第二組中的半導體鰭部、配置成連接該第一閘極導體至該第二閘極導體、以及配置成連接電力導體至該第一及第二組中的其中之一中的至少一半導體鰭部;多個層間連接器,連接半導體鰭部、閘極導體、及該多個圖案化導體層中的導體;以及將機器可讀取的單元規格儲存在非暫態資料儲存媒體上的單元庫中。
  16. 如申請專利範圍第15項之方法,其中,該第二組中的半導體鰭部與該第一組中的半導體鰭部係端對端地對齊。
  17. 如申請專利範圍第15項之方法,包含:在該多個圖案化導體層中的至少一圖案化導體層,包含與該第一組中的半導體鰭部的其中之一以及該第二組中的半導體鰭部的其中之一相平行及相鄰的區塊間導體,該區塊間導體連接該第一閘極導體至該第二閘極導體。
  18. 如申請專利範圍第15項之方法,包含:在該多個圖案化導體層中的至少一圖案化導體層,包含區塊間導體,該區塊間導體係配置成連接該第一組中的第一半導體鰭部至該第二組中的第二半導體鰭部,其中,該第二半導體鰭部與該第一半導體鰭部係端對端地對齊。
  19. 如申請專利範圍第15項之方法,其中,該第一及第二組鰭部包含電晶體通道、源極和汲極,以及包含在該源極和汲極上的應力源結構。
  20. 如申請專利範圍第15項之方法,其中,最接近該第一組半導體鰭部中的至少一鰭部上的該區塊間隔離結構的第一側之該端部包含在該端部上或是在該端部與該第一閘極導體之間的應力源結構。
  21. 如申請專利範圍第15項之方法,其中,最接近該第一組半導體鰭部中的至少一鰭部上的該區塊間隔離結構的第一側之該端部包含在該端部上或是在該端部與該第一閘極導體之間的第一應力源結構;以及,最接近該第二組半導體鰭部中的至少一鰭部上的該區塊間隔離結構的第二側之該端部包含在該端部上或是在該端部與該第二閘極導體之間的第二應力源結構。
TW102120726A 2012-06-13 2013-06-11 N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構 TWI543335B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/495,719 US8901615B2 (en) 2012-06-13 2012-06-13 N-channel and P-channel end-to-end finfet cell architecture

Publications (2)

Publication Number Publication Date
TW201413919A TW201413919A (zh) 2014-04-01
TWI543335B true TWI543335B (zh) 2016-07-21

Family

ID=49755109

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102120726A TWI543335B (zh) 2012-06-13 2013-06-11 N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構

Country Status (6)

Country Link
US (2) US8901615B2 (zh)
EP (1) EP2862205A4 (zh)
KR (1) KR101791734B1 (zh)
CN (1) CN104471714A (zh)
TW (1) TWI543335B (zh)
WO (1) WO2013188410A2 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8595661B2 (en) * 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8723268B2 (en) 2012-06-13 2014-05-13 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US20140197463A1 (en) * 2013-01-15 2014-07-17 Altera Corporation Metal-programmable integrated circuits
US8904324B2 (en) * 2013-03-15 2014-12-02 Globalfoundries Inc. Parameterized cell for planar and finFET technology design
US20150024584A1 (en) * 2013-07-17 2015-01-22 Global Foundries, Inc. Methods for forming integrated circuits with reduced replacement metal gate height variability
WO2015025441A1 (ja) * 2013-08-23 2015-02-26 パナソニック株式会社 半導体集積回路装置
US9087170B2 (en) * 2013-08-28 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout design and method
KR102135306B1 (ko) * 2013-09-27 2020-07-17 인텔 코포레이션 최대화된 컴플라이언스 및 자유 표면 완화를 갖는 Ge 및 III-V족 채널 반도체 소자들
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
CN106663594B (zh) * 2014-06-23 2020-08-25 美商新思科技有限公司 具有含不同数量的纳米线或2d材料带的晶体管的存储单元和逻辑单元
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9378320B2 (en) 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
TWI626551B (zh) * 2014-06-30 2018-06-11 聯華電子股份有限公司 減少功率消耗之鰭式結構電晶體之設計方法
JP6449082B2 (ja) * 2014-08-18 2019-01-09 ルネサスエレクトロニクス株式会社 半導体装置
JP6640965B2 (ja) * 2014-08-18 2020-02-05 ルネサスエレクトロニクス株式会社 半導体装置
US20160063163A1 (en) * 2014-08-26 2016-03-03 Synopsys, Inc. Arrays with compact series connection for vertical nanowires realizations
KR102227128B1 (ko) * 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10411135B2 (en) * 2015-06-08 2019-09-10 Synopsys, Inc. Substrates and transistors with 2D material channels on 3D geometries
US9887210B2 (en) 2015-08-28 2018-02-06 Samsung Electronics Co., Ltd. Semiconductor device
KR102406947B1 (ko) * 2015-10-08 2022-06-10 삼성전자주식회사 반도체 소자
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9728642B2 (en) * 2015-11-04 2017-08-08 International Business Machines Corporation Retaining strain in finFET devices
KR102404973B1 (ko) * 2015-12-07 2022-06-02 삼성전자주식회사 반도체 장치
US20170338215A1 (en) * 2016-05-20 2017-11-23 Qualcomm Incorporated Heterogeneous cell array
US10366196B2 (en) * 2016-06-22 2019-07-30 Qualcomm Incorporated Standard cell architecture for diffusion based on fin count
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10380315B2 (en) 2016-09-15 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming an integrated circuit
US11189569B2 (en) 2016-09-23 2021-11-30 Advanced Micro Devices, Inc. Power grid layout designs for integrated circuits
US9711507B1 (en) 2016-09-30 2017-07-18 International Business Machines Corporation Separate N and P fin etching for reduced CMOS device leakage
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
KR102578579B1 (ko) 2016-11-09 2023-09-14 삼성전자주식회사 반도체 소자
JP6836137B2 (ja) * 2016-11-17 2021-02-24 セイコーエプソン株式会社 半導体装置及びそのレイアウト設計方法
US10970450B2 (en) * 2016-11-29 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structures and semiconductor devices having same
TWI700833B (zh) * 2016-12-16 2020-08-01 台灣積體電路製造股份有限公司 元件格佈局結構與形成元件格的方法
US10424574B2 (en) 2017-01-23 2019-09-24 International Business Machines Corporation Standard cell architecture with at least one gate contact over an active area
US11211330B2 (en) * 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US11347925B2 (en) 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
JP7054013B2 (ja) 2017-06-27 2022-04-13 株式会社ソシオネクスト 半導体集積回路装置
US11120190B2 (en) * 2017-11-21 2021-09-14 Advanced Micro Devices, Inc. Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level
DE102018124711B4 (de) 2017-11-21 2024-01-11 Taiwan Semiconductor Manufacturing Co. Ltd. Layout-Verfahren für Standardzellenstrukturen
WO2019132870A1 (en) * 2017-12-27 2019-07-04 Intel Corporation Pin must-connects for improved performance
US10438937B1 (en) 2018-04-27 2019-10-08 Advanced Micro Devices, Inc. Metal zero contact via redundancy on output nodes and inset power rail architecture
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10742218B2 (en) * 2018-07-23 2020-08-11 International Business Machines Corpoartion Vertical transport logic circuit cell with shared pitch
US10763863B2 (en) 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
KR102455609B1 (ko) 2018-09-28 2022-10-17 삼성전자주식회사 반도체 장치
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
DE102020127090A1 (de) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfinnenlayout, verfahren, system und bauelement
US11151297B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple fin count layout, method, system, and device
US11521676B2 (en) * 2020-04-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with asymmetric interconnection
KR20220041280A (ko) 2020-09-24 2022-04-01 삼성전자주식회사 반도체 장치

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US5115289A (en) * 1988-11-21 1992-05-19 Hitachi, Ltd. Semiconductor device and semiconductor memory device
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
DE69624107T2 (de) 1996-07-18 2003-06-05 St Microelectronics Srl Flash-EEPROM-Zelle mit einziger Polysiliziumschicht und Verfahren zur Herstellung
US6285088B1 (en) * 1998-05-13 2001-09-04 Texas Instruments Incorporated Compact memory circuit
JP3160586B2 (ja) * 1999-04-27 2001-04-25 松下電子工業株式会社 Cmosインバータ及びそれを用いたスタンダードセル
US6968524B2 (en) * 2000-03-31 2005-11-22 Cadence Design Systems, Inc. Method and apparatus to optimize an integrated circuit design using transistor folding
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
JP4161892B2 (ja) 2003-12-04 2008-10-08 ソニー株式会社 半導体装置
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US8138544B2 (en) * 2004-09-13 2012-03-20 John James Seliskar Castellated gate MOSFET tetrode capable of fully-depleted operation
US7368787B2 (en) * 2005-05-19 2008-05-06 International Business Machines Corporation Fin field effect transistors (FinFETs) and methods for making the same
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
US8110863B2 (en) * 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7256464B2 (en) * 2005-08-29 2007-08-14 United Microelectronics Corp. Metal oxide semiconductor transistor and fabrication method thereof
JP2007103862A (ja) * 2005-10-07 2007-04-19 Renesas Technology Corp 半導体装置およびその製造方法
US7719058B2 (en) * 2005-10-12 2010-05-18 Seliskar John J Mixed-signal semiconductor platform incorporating fully-depleted castellated-gate MOSFET device and method of manufacture thereof
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US7259979B2 (en) * 2005-10-28 2007-08-21 Texas Instruments Incorporated Area efficient stacked TCAM cell for fully parallel search
KR101032286B1 (ko) * 2005-12-22 2011-05-06 자이단호진 고쿠사이카가쿠 신고우자이단 반도체 장치
US7282772B2 (en) * 2006-01-11 2007-10-16 International Business Machines Corporation Low-capacitance contact for long gate-length devices with small contacted pitch
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7723806B2 (en) * 2006-03-28 2010-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cells and semiconductor memory device using the same
JP2008047698A (ja) * 2006-08-16 2008-02-28 Renesas Technology Corp 半導体記憶装置
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
JP5142546B2 (ja) 2007-02-08 2013-02-13 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
JP2008227026A (ja) * 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
JP4473889B2 (ja) * 2007-04-26 2010-06-02 株式会社東芝 半導体装置
US7671422B2 (en) * 2007-05-04 2010-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pseudo 6T SRAM cell
US8237201B2 (en) 2007-05-30 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Layout methods of integrated circuits having unit MOS devices
US8174073B2 (en) 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8288233B2 (en) * 2007-09-28 2012-10-16 Intel Corporation Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby
US20090101940A1 (en) * 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
JP2009130210A (ja) * 2007-11-26 2009-06-11 Toshiba Corp 半導体装置
US7951678B2 (en) 2008-08-12 2011-05-31 International Business Machines Corporation Metal-gate high-k reference structure
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8104000B2 (en) * 2008-10-27 2012-01-24 Synopsys, Inc. Method and apparatus for memory abstraction and for word level net list reduction and verification using same
US20100127333A1 (en) * 2008-11-21 2010-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. novel layout architecture for performance enhancement
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US20100187609A1 (en) * 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
US8004042B2 (en) * 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US7955928B2 (en) 2009-03-30 2011-06-07 International Business Machines Corporation Structure and method of fabricating FinFET
US8362622B2 (en) * 2009-04-24 2013-01-29 Synopsys, Inc. Method and apparatus for placing transistors in proximity to through-silicon vias
US8819094B2 (en) * 2009-06-10 2014-08-26 Synopsys, Inc. Multiplicative division circuit with reduced area
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8497528B2 (en) * 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8125007B2 (en) * 2009-11-20 2012-02-28 International Business Machines Corporation Integrated circuit including FinFET RF switch angled relative to planar MOSFET and related design structure
US8373238B2 (en) 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8324668B2 (en) * 2009-12-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for isolating devices in integrated circuits
US8609497B2 (en) * 2010-02-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of dual EPI process for semiconductor device
US8212295B2 (en) * 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
US8362560B2 (en) * 2010-06-16 2013-01-29 International Business Machines Corporation Field effects transistor with asymmetric abrupt junction implant
KR101735810B1 (ko) * 2010-08-20 2017-05-16 삼성전자주식회사 3차원 반도체 장치
US8378394B2 (en) 2010-09-07 2013-02-19 International Business Machines Corporation Method for forming and structure of a recessed source/drain strap for a MUGFET
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8987831B2 (en) * 2012-01-12 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells and arrays
US9196540B2 (en) * 2012-02-07 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with novel edge fins
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture

Also Published As

Publication number Publication date
KR20150031248A (ko) 2015-03-23
KR101791734B1 (ko) 2017-10-30
EP2862205A2 (en) 2015-04-22
WO2013188410A3 (en) 2014-02-20
US9646966B2 (en) 2017-05-09
EP2862205A4 (en) 2016-08-17
US20130334613A1 (en) 2013-12-19
CN104471714A (zh) 2015-03-25
TW201413919A (zh) 2014-04-01
US8901615B2 (en) 2014-12-02
WO2013188410A2 (en) 2013-12-19
US20150041924A1 (en) 2015-02-12

Similar Documents

Publication Publication Date Title
TWI543335B (zh) N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構
TWI506792B (zh) 具有寬鬆之閘極間距的N通道及P通道端對端鰭式場效電晶體(FinFET)單元架構
US9076673B2 (en) FinFET cell architecture with power traces
EP2737523B1 (en) N-channel and p-channel finfet cell architecture with inter-block insulator