TWI415255B - 形成具有中間層之半導體器件之方法及其結構 - Google Patents

形成具有中間層之半導體器件之方法及其結構 Download PDF

Info

Publication number
TWI415255B
TWI415255B TW096111431A TW96111431A TWI415255B TW I415255 B TWI415255 B TW I415255B TW 096111431 A TW096111431 A TW 096111431A TW 96111431 A TW96111431 A TW 96111431A TW I415255 B TWI415255 B TW I415255B
Authority
TW
Taiwan
Prior art keywords
layer
forming
stack
metal
substrate
Prior art date
Application number
TW096111431A
Other languages
English (en)
Other versions
TW200746413A (en
Inventor
James K Schaeffer
Rama I Hegde
Srikanth B Samavedam
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of TW200746413A publication Critical patent/TW200746413A/zh
Application granted granted Critical
Publication of TWI415255B publication Critical patent/TWI415255B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Description

形成具有中間層之半導體器件之方法及其結構
本發明大體上係關於半導體器件,且更具體言之,係關於具有位於導電材料與介電材料之間的中間層之半導體器件。
在矽互補金屬氧化物半導體(CMOS)製造之領域中,正考慮金屬閘極之使用。較佳的是,將不同金屬用於PMOS及NMOS器件,使得功函數可針對每一類型之器件而得以最佳化。功函數之改變將影響臨限電壓(VT )。對於PMOS器件,需要使功函數接近於5.2 eV之矽價帶邊緣,而對於NMOS器件,需要使功函數接近於4.1 eV之矽導帶邊緣。此外,材料應在用以活化隨後形成之源極及汲極區域之溫度下熱穩定。
若所選擇之材料不具有所要功函數,則可能會不良地發生短通道效應,包括增加之汲極誘發性障壁降低(DIBL)。舉例而言,可能會存在劇增之VT 衰減(roll-off)及增加之亞臨限值擺動。
然而,被考慮用於PMOS器件及NMOS器件之閘極之當前材料不滿足上述需求。因此,存在對具有PMOS或NMOS器件之所要功函數之結構及形成此結構之製程的需要。
位於導電材料(例如,電極)與介電材料之間的中間層在一實施例中用以設定NMOS及PMOS金屬氧化物半導體場效電晶體(MOSFET)器件之功函數。在一實施例中,提供一基板且在該基板上形成一第一堆疊,且形成第一堆疊包括:在基板上形成一介電層;在介電層上形成一包括鹵素及金屬之第一層;及在第一層上形成一金屬層。藉由在介電質(例如,高介電常數介電質)與導電材料(例如,金屬閘電極)之間置放諸如AlF3 之中間層,可調變金屬/介電質界面偶極以增加有效金屬功函數。因此,該界面可用以修改MOSFET中界面之電性質。另外,若中間層包括鹵素(諸如氟),則在由於形成中間層而使介電質摻雜有氟時,可改良電應力下之VT 不穩定性。氟可能為所需的,因為其可替代在形成高介電常數介電質時產生之不良的氯(Cl)雜質。此外,中間層可用於其他器件中,諸如動態隨機存取記憶體(DRAM)電容器及金屬絕緣體金屬(MIM)電容器。在一些實施例中,該中間層(或一個以上之中間層)係在一控制電極堆疊內。該控制電極堆疊可為閘極堆疊(例如,MOSFET之閘極堆疊)、電容器之堆疊(例如,其可包括金屬、介電質及中間層)、DRAM之堆疊、非揮發性記憶體器件之堆疊(NVM),或另一類似器件之堆疊。
圖1說明一包括基板12、介電層16及第一中間層18之半導體器件10。基板12可為金屬、半導體基板、其類似物或以上之組合。在一較佳實施例中,基板為半導體基板12且包括隔離區域14,諸如淺槽隔離(STI)區域。半導體基板12可為任何半導體材料或材料之組合,諸如砷化鎵、矽鍺、絕緣體上矽(SOI)(例如,全空乏SOI(FDSOI))、矽、單晶矽、其類似物及以上之組合。
圖中所說明之實施例中之介電層16為第一閘極絕緣層16,諸如高介電常數(高k或hi-k)材料(例如,HfO2 、Hfx Zr1-x O2 或Hfx Zry Oz )、二氧化矽或以上之組合。高k材料具有大於二氧化矽之介電常數的介電常數。介電層16可藉由任何合適之製程來形成,諸如熱生長、化學氣相沈積(CVD)、原子層沈積(ALD)、物理氣相沈積(PVD)、其類似製程或以上之組合。
在進一步論述之後將理解到,第一中間層18為一位於介電層16與導電層(諸如金屬閘電極)之間的中間層。在圖中所說明之實施例中,第一中間層18為PMOS電晶體之中間層。在一實施例中,第一中間層18為任何金屬鹵化物,諸如金屬氟化物、金屬氯化物、金屬溴化物、金屬碘化物或以上之組合。金屬氟化物可能為較佳的,因為氟與其他鹵化物相比更具陰電性。若第一中間層18為金屬氟化物,則其可為氟化銣(RbF)、氟化鋰(LiF)、氟化銫(CsF)、氟化鎂(MgF2 )、氟化鍶(SrF)及氟化鈧(ScF)、氟化鋁(AlF3 )、金屬與氟之任何組合(例如,包括鋁及氟之材料,諸如氟化氧化鋁(Al2 O3 ))、其類似物或以上之組合。在以下將解釋,諸如氟化銣(RbF)、氟化鋰(LiF)、氟化銫(CsF)、氟化鎂(MgF2 )、氟化鍶(SrF)及氟化鈧(ScF)之一些金屬氟化物可更適用於設定NMOS器件之功函數。
因為在圖中所說明之實施例中,第一中間層18用於PMOS半導體器件中,所以需要使經選擇用於第一中間層18之材料包括與諸如氟之鹵素組合之相對陰電性金屬。一合適材料為包括鋁及氟之材料,諸如AlF3
AlF3 為用於PMOS器件之較佳中間層,尤其因為其包括高濃度之陰電性氟原子及與其他金屬相比具有相對陰電性之金屬陽離子(鋁)。預期AlF3 中之元素之高陰電性將PMOS器件之有效功函數增加至一所需位準。具有較高陰電性之金屬具有較高真空功函數。另外,金屬-介電質(金屬-半導體)界面處之有效功函數(障壁高度)亦與接觸金屬及介電質之相對陰電性有關。此係因為陰電性(其為原子將共用電子吸引至其自身之能力)判定在金屬-介電質界面處發生之電荷交換量。此界面處之電荷交換導致界面電子偶極,其部分地判定有效功函數(或障壁高度)。因此,界面電子偶極之量值及極性視接觸金屬及介電質之相對陰電性而定。
另外,AlF3 具有近似攝氏1260度之熔化溫度,其大於通常用以活化源極及汲極區域中之摻雜劑的溫度。(通常在近似攝氏1000度下發生摻雜劑活化)。此外,AlF3 應與可用作閘極氧化物之下方氧化鉿(HfO2 )層良好地作用,因為報告AlF3 不吸水(H2 O)。此外,報告AlF3 具有良好的機械強度。
若第一中間層18為AlF3 ,則其可藉由任何合適之製程而形成於介電層16上,諸如PVD(例如,自AlF3 目標之濺鍍或Ar/F2 環境中Al之反應性濺鍍)、ALD、CVD、電子束沈積、其類似製程或以上之組合。另外,第一層18為AlF3 ,其可藉由使先前形成(例如,藉由CVD、ALD或PVD形成)之鋁層氟化來形成。
若第一中間層18為氟化Al2 O3 ,則其可藉由形成Al2 O3 且接著使Al2 O3 氟化來形成。無論使Al氟化還是使Al2 O3 氟化(例如,藉由ALD、CVD或PVD),可藉由使用F2 、CF4 、Cx Hy Fz 、NF3 、其類似物或以上之組合的氣體或電漿而發生氟化。
第一中間層18可介於近似1埃厚與15埃厚之間。較佳的是使第一中間層18儘可能地薄以達成所要功函數,但足夠薄以便不使半導體器件之電容降級。電容(C)被定義為介電常數()乘實空間之電容率(ε0 )乘電容器之面積(A)再除以介電質之厚度(t),如下文所示: 因為電容與介電質厚度成反比,所以需要最小化金屬鹵化物層之厚度。另外,金屬鹵化物的介電常數可能低於介電層之介電常數,其亦可使電容值降級。
在一實施例中,介電層16為高k介電質且第一中間層18為AlF3 ,其具有接近4之介電常數。在此實施例中,若AlF3 過厚,則其將不利地偏移高k介電質之高介電常數,使得實際上,絕緣之AlF3 及高k介電質將皆充當具有低於高k介電質單獨之介電常數的介電常數之閘極氧化物,此為不利的。較佳的是,第一中間層18不負面地影響閘極氧化物,反而充當一位於金屬閘極與閘極氧化物之間的功函數調變中間層。然而,第一層18之一部分或全部可充當閘極氧化物之一部分。
如圖2中所說明,在形成第一中間層18之後,一第一金屬電極20可形成於第一中間層18上。第一金屬電極20可為尤其適用於PMOS器件之氮化鉬、氮氧化鉬、氮化鎢、氧化釕、釕、氮化鈦、氧化銥等等或以上之組合,或為尤其適用於NMOS器件之碳化鉭、氮化矽鉭、氮化鉭、氮化鈦、碳化鉿、氮化鉿、碳化鋯、氮化鋯、與另一金屬成合金之碳化鉭等等或以上之組合。在圖中所說明之實施例中,第一金屬電極20為PMOS器件之閘電極。第一金屬電極20可藉由任何合適之製程來形成,諸如CVD、ALD、PVD、濺鍍等等或以上之組合。
如圖2中所示,第一圖案化遮罩22可形成於半導體器件10上。在所示之實施例中,第一圖案化遮罩22形成於半導體器件之將形成PMOS器件的區域(PMOS區域)上。因此,第一圖案化遮罩22曝露半導體器件10之將形成NMOS器件的區域(NMOS區域)。(儘管未說明,但是熟習此項技術者應知道,半導體基板12可包括井,該等井視在該井中將形成NMOS或PMOS器件而摻雜成p型或n型。)第一圖案化遮罩22可為任何合適之遮罩,諸如光阻劑。
如圖3中所示,在曝露半導體器件10在NMOS區域中之區域之後,可移除第一金屬電極20及第一中間層18之曝露部分。在一實施例中,第一金屬電極20可藉由piranha或SC-1(標準清潔1)中之濕式蝕刻來移除。piranha清潔包含硫酸、過氧化氫及水。SC-1清潔包含氫氧化銨、過氧化氫及水。在一實施例中,第一中間層18可藉由HPO4 、HNO3 、CH3 COOH、HCl、任何其他合適之化學藥劑或以上之組合而以濕式蝕刻來移除。在一實施例中,第一中間層18可使用包括HCl、Br2 、Cl2 、任何其他合適之化學藥劑或以上之組合的氣體來移除。在一實施例中,氫氧化四甲銨(TMAH)可被單獨使用或與諸如以上所描述之化學藥劑的化學組合使用。第一電極20可使用對第一中間層18具選擇性之化學電漿來乾式蝕刻,且接著,中間層18可使用以上所描述之化學藥劑而以濕式蝕刻來移除。
如圖4中所說明,在移除第一金屬電極20及第一中間層18在半導體器件10之NMOS區域中的部分之後,第二中間層24及第二金屬電極26可形成於半導體器件上。在所說明之實施例中,第二中間層24及第二金屬電極26形成於NMOS區域中之介電層16上與介電層16、第一中間層18及PMOS區域中之第一金屬電極20上。第二中間層24可為先前針對第一中間層18所論述之任何材料,且可藉由先前針對第一中間層18所論述之任何製程來形成。因為第二中間層24為圖中所說明之實施例中之NMOS區域的中間層,所以該中間層較佳地為最適用於NMOS器件之中間層材料,諸如RbF、LiF、CsF、MgF2 、SrF、ScF等等或以上之組合。對於NMOS器件,較佳的是,中間層包括與諸如氟之鹵素組合的具有相對陽電性之金屬。
如圖5中所示,在形成第二中間層24及第二金屬電極26之後,可形成多晶矽閘電極28。多晶矽閘電極28可藉由諸如CVD之任何合適之製程來形成。多晶矽閘電極28遠厚於(甚至厚於圖中所說明之厚度)下方介電層16、第一中間層18、第一金屬電極20、第二中間層24及第二金屬電極26。在一實施例中,多晶矽閘電極28近似1,000埃厚。
如圖7中所示,在形成多晶矽閘電極28(若存在)之後,閘極堆疊經圖案化以形成NMOS閘極堆疊30及PMOS閘極堆疊32。在所說明之實施例中,NMOS閘極包括介電層16之一部分、第二中間層24、第二金屬電極26及多晶矽閘電極28。在所說明之實施例中,PMOS閘極包括介電層16之一部分、第一中間層18、第一金屬電極20、第二中間層24、第二金屬電極26及多晶矽閘電極28。需要使第二中間層24足夠薄以為不連續的(例如,近似1埃至近似15埃),使得第一金屬電極20及第二金屬電極26彼此電連接。若第二中間層24不足夠薄,則其(且可能第二金屬電極26)可在PMOS區域中被移除。因此,PMOS閘極堆疊可能不包括第二中間層24或第二金屬電極26。
在一實施例中,當形成NMOS閘極堆疊30時,多晶矽閘電極28可使用(遮罩及)任何合適之化學藥劑來圖案化,諸如Cl2 、HBr、CF4 、CH2 F2 、其類似物及以上之組合物。第二金屬電極26可使用任何合適之製程來蝕刻,諸如遮罩及先前針對自NMOS區域移除第一金屬電極20所論述之蝕刻製程。第二中間層24可使用任何合適之製程來移除,諸如遮罩及先前針對自NMOS區域移除第一中間層18所論述之化學藥劑。
在一實施例中,當形成PMOS閘極堆疊32時,多晶矽28可使用(遮罩及)如先前針對圖案化NMOS閘極堆疊30所論述之任何合適之化學藥劑來圖案化。第一中間層18、第一金屬電極20、第二中間層24(若存在)及第二金屬電極26(若存在)可使用先前針對圖案化第二中間層24及第二金屬電極26所論述之任何合適之製程來圖案化。
在圖案化NMOS閘極堆疊30及PMOS閘極堆疊32之後,執行習知的處理以形成NMOS源極/汲極區域36、PMOS源極/汲極區域38及間隔層34。NMOS源極/汲極區域36及PMOS源極/汲極區域38可包括擴展區域及鹵基區域(未圖示)。間隔層34可為任何合適之間隔層,諸如氮化物間隔層、L形間隔層或包括材料之組合(例如,氮化物及氧化物)的間隔層。在形成間隔層34之後,介電層16之曝露部分可使用習知處理來移除(意即,介電層16可經圖案化)。可執行未說明之後續習知處理以形成諸如層間介電層及互連層之特徵以連接半導體基板12上之各種器件。
至此,應瞭解到,已提供一種用於形成具有一閘電極堆疊之半導體器件之方法,該閘電極堆疊包括閘電極及中間層,使得閘電極堆疊具有用於該器件之所要功函數。所描述之中間層亦可用於其他器件中,諸如DRAM電容器及MIM電容器結構。舉例而言,在DRAM及MIM電容器中,可能需要在頂部電極與介電質之間、底部電極與介電質之間或以上兩處皆形成具有金屬及鹵化物(例如,氟)之中間層。因此,在結構為MIM結構之實施例中,半導體基板12可為一金屬基板。
在前述說明書中,本發明已參考特定實施例而得以描述。然而,一般熟習此項技術者應瞭解到,在未脫離如在以下申請專利範圍中所陳述之本發明之範疇的情況下,可進行各種修改及改變。因此,本說明書及圖應被看作為說明性意義而非限制性意義,且所有此等修改意欲包括於本發明之範疇內。
儘管本發明已關於特定導電類型或電位極性而得以描述,但是熟習此項技術者應瞭解到,可顛倒導電類型及電位極性。
益處、其他優勢及問題之解決方案在以上已關於特定實施例而得以描述。然而,益處、優勢、問題之解決方案及可引起出現任何益處、優勢或解決方案或使其變得更顯著之任何元件不應被理解為任何或所有申請專利範圍之關鍵、所需要或必要的特徵或元件。如本文中所使用,術語"包含"意欲涵蓋非獨占式內涵物,使得包含元件清單之製程、方法、物品或裝置不僅包括彼等元件,而且可包括未明確列出或此等製程、方法、物品或裝置所固有的其他元件。如本文中所使用之術語"一"被定義為一個或一個以上。此外,描述及申請專利範圍中之術語"前部"、"後部"、"頂部"、"底部"、"在…上"、"在…下"及其類似術語(若存在)用於描述性目的且未必用於描述永久性相對位置。應理解到,如此使用之術語在適當之情形下係可互換的,使得本文所描述的本發明之實施例(例如)能夠在除了本文中所說明或另外描述之定向以外的定向中操作。
10...半導體器件
12...半導體基板
14...隔離區域
16...介電層
18...第一中間層
20...第一金屬電極
22...第一圖案化遮罩
24...第二中間層
26...第二金屬電極
28...多晶矽閘電極
30...NMOS閘極堆疊
32...PMOS閘極堆疊
34...間隔層
36...NMOS源極/汲極區域
38...PMOS源極/汲極區域
圖1說明在形成根據一實施例之介電層及第一中間層之後半導體基板之一部分的橫截面圖;圖2說明在形成根據一實施例之第一金屬電極及圖案化遮罩之後圖1的半導體基板;圖3說明在移除根據一實施例之NMOS區域中第一中間層及第一金屬電極之部分之後圖2的半導體基板;圖4說明在形成根據一實施例之第二中間層及第二金屬電極之後圖3的半導體基板;圖5說明在形成根據一實施例之多晶矽閘電極之後圖4的半導體基板;圖6說明在圖案化根據一實施例之圖5之半導體基板之後圖5的半導體基板;且圖7說明在進一步處理之後圖6的半導體基板。
熟習此項技術者應瞭解到,該等圖中之元件係出於簡單及清楚之目的而得以說明且未必按比例繪製。舉例而言,圖中之一些元件之尺寸可相對於其他元件而加以誇示以有助於改良對本發明之實施例的理解。
10...半導體器件
12...半導體基板
14...隔離區域
16...介電層
18...第一中間層
20...第一金屬電極
24...第二中間層
26...第二金屬電極
28...多晶矽閘電極
30...NMOS閘極堆疊
32...PMOS閘極堆疊
34...間隔層
36...NMOS源極/汲極區域
38...PMOS源極/汲極區域

Claims (22)

  1. 一種形成一半導體器件之方法,其包含:提供一基板;及在該基板上形成一第一堆疊,其中該形成該第一堆疊包括:在該基板上形成一介電層;在該介電層上形成一包括一鹵素及一金屬之第一層;及在該第一層上形成一金屬層;在該基板上形成一第二堆疊,其中該形成該第二堆疊包括:在該基板及該第一層上形成一包括一鹵素及一金屬之第二層;及在該第二層上形成一第二金屬層;其中該第二層之特徵為其成分不同於該第一層之成分。
  2. 如請求項1之方法,其中該形成該第一堆疊進一步包括在該金屬層上形成一多晶矽層。
  3. 如請求項1之方法,其中該形成該第一堆疊進一步包括圖案化該金屬層及該第一層。
  4. 如請求項1之方法,其中該第一層包括氟化鋁。
  5. 如請求項1之方法,其中該第一層包括選自由以下各物組成之群的至少一材料:氟化銣、氟化鋰、氟化銫、氟 化鎂、氟化鍶、氟化鈣及氟化鈧。
  6. 如請求項1之方法,其中該第一層之該鹵素之特徵為氟。
  7. 如請求項1之方法,其中該第一堆疊之特徵為一控制電極堆疊,且該基板包括一半導體材料。
  8. 如請求項7之方法,其中該MOSFET器件之特徵為一P通道電晶體。
  9. 如請求項1之方法,其中該形成該介電層包括形成一特徵為一高介電常數材料之介電層。
  10. 如請求項1之方法,其中該形成該金屬層包括形成一包括選自由以下各物組成之群之至少一材料的金屬層:氮化鉬、氮氧化鉬、氮化鎢、氧化釕、釕、氮化鈦及氧化銥。
  11. 如請求項1之方法,其中該形成該金屬層包括形成一包括選自由以下各物組成之群之至少一材料的金屬層:碳化鉭、氮化鉭、氮化矽鉭、氮化鈦、碳化鉿、氮化鉿、碳化鋯、氮化鋯及碳化鈦。
  12. 如請求項1之方法,其中該形成該第二堆疊進一步包括:在該形成該第二層之前移除該基板之一第一區域上之該金屬層及移除該第一區域上之該第一層,其中該第二堆疊形成於該第一區域中。
  13. 如請求項1之方法,其中該堆疊之特徵為一第一MOSFET器件之一閘極堆疊,且該第二堆疊之特徵為一第二 MOSFET器件之一閘極堆疊,其中該第一MOSFET器件之特徵為一P通道電晶體,且該第二MOSFET器件之特徵為一N通道電晶體。
  14. 如請求項1之方法,其中:該形成該介電層包括形成一包括鉿、鋯及氧之層;該形成該第一層包括形成一包括氟化鋁之層;且該形成該金屬層包括形成一包括選自由氮化鉬及氮化鎢組成之群之至少一材料的層。
  15. 一種形成一半導體器件之方法,其包含:提供一基板;及在該基板上形成一第一堆疊,其中該形成該第一堆疊包括:在該基板上形成一包括鉿、鋯及氧之介電層;在該介電層上形成一包括氟化鋁之第一層;及在該第一層上形成一金屬層,其中該金屬層包括選自由氮化鉬及氮化鎢組成之群之至少一材料。
  16. 如請求項15之方法,其中該第一堆疊之特徵為一控制電極堆疊,且該基板包括一半導體材料。
  17. 如請求項16之方法,其中該MOSFET器件之特徵為一P通道電晶體。
  18. 如請求項15之方法,其中該形成該介電層包括形成一特徵為一高介電常數材料之介電層。
  19. 如請求項15之方法,其進一步包含:在該基板上形成一第二堆疊,其中該形成該第二堆疊 包括:在該基板上形成一包括一鹵素及一金屬之第二層;及在該第二層上形成一第二金屬層;其中該第二層之特徵為其成分不同於該第一層之成分。
  20. 如請求項19之方法,其中該第二層形成於該第一層上。
  21. 如請求項19之方法,其中該形成該第二堆疊進一步包括:在該形成該第二層之前移除該基板之一第一區域上之該金屬層及移除該第一區域上之該第一層,其中該第二堆疊形成於該第一區域中。
  22. 如請求項19之方法,其中該堆疊之特徵為一第一MOSFET器件之一閘極堆疊,且該第二堆疊之特徵為一第二MOSFET器件之一閘極堆疊,其中該第一MOSFET器件之特徵為一P通道電晶體,且該第二MOSFET器件之特徵為一N通道電晶體。
TW096111431A 2006-05-26 2007-03-30 形成具有中間層之半導體器件之方法及其結構 TWI415255B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/420,525 US7445976B2 (en) 2006-05-26 2006-05-26 Method of forming a semiconductor device having an interlayer and structure therefor

Publications (2)

Publication Number Publication Date
TW200746413A TW200746413A (en) 2007-12-16
TWI415255B true TWI415255B (zh) 2013-11-11

Family

ID=38748748

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096111431A TWI415255B (zh) 2006-05-26 2007-03-30 形成具有中間層之半導體器件之方法及其結構

Country Status (5)

Country Link
US (1) US7445976B2 (zh)
JP (1) JP5254220B2 (zh)
CN (1) CN101569005B (zh)
TW (1) TWI415255B (zh)
WO (1) WO2007140037A2 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7445976B2 (en) * 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor
JP4271230B2 (ja) 2006-12-06 2009-06-03 株式会社東芝 半導体装置
KR100954107B1 (ko) * 2006-12-27 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7612422B2 (en) * 2006-12-29 2009-11-03 Texas Instruments Incorporated Structure for dual work function metal gate electrodes by control of interface dipoles
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
US7842977B2 (en) * 2007-02-15 2010-11-30 Qimonda Ag Gate electrode structure, MOS field effect transistors and methods of manufacturing the same
US7435652B1 (en) * 2007-03-30 2008-10-14 International Business Machines Corporation Integration schemes for fabricating polysilicon gate MOSFET and high-K dielectric metal gate MOSFET
US8734440B2 (en) * 2007-07-03 2014-05-27 St. Jude Medical, Atrial Fibrillation Division, Inc. Magnetically guided catheter
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US7625791B2 (en) * 2007-10-29 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. High-k dielectric metal gate device structure and method for forming the same
US20090152636A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation High-k/metal gate stack using capping layer methods, ic and related transistors
US8030709B2 (en) * 2007-12-12 2011-10-04 International Business Machines Corporation Metal gate stack and semiconductor gate stack for CMOS devices
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
EP2112687B1 (en) * 2008-04-22 2012-09-19 Imec Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20090267130A1 (en) * 2008-04-28 2009-10-29 International Business Machines Corporation Structure and process integration for flash storage element and dual conductor complementary mosfets
US7821081B2 (en) * 2008-06-05 2010-10-26 International Business Machines Corporation Method and apparatus for flatband voltage tuning of high-k field effect transistors
US20110042759A1 (en) 2009-08-21 2011-02-24 International Business Machines Corporation Switching device having a molybdenum oxynitride metal gate
US8399344B2 (en) * 2009-10-07 2013-03-19 Asm International N.V. Method for adjusting the threshold voltage of a gate stack of a PMOS device
US8304842B2 (en) * 2010-07-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure for N/P metal gates
US20120018813A1 (en) * 2010-07-22 2012-01-26 International Business Machines Corporation BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
US8691638B2 (en) * 2010-12-10 2014-04-08 Globalfoundries Singapore Pte. Ltd. High-K metal gate device
US9006092B2 (en) * 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
TWI625792B (zh) * 2014-06-09 2018-06-01 聯華電子股份有限公司 半導體元件及其製作方法
US9837487B2 (en) * 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9666574B1 (en) * 2015-11-30 2017-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US10686050B2 (en) 2018-09-26 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352917A (en) * 1990-07-04 1994-10-04 Tadahiro Ohmi Electronic device provided with metal fluoride film
US6933569B2 (en) * 2002-12-06 2005-08-23 Nec Corporation Soi mosfet
US20050233562A1 (en) * 2004-04-19 2005-10-20 Adetutu Olubunmi O Method for forming a gate electrode having a metal
US20050282326A1 (en) * 2003-03-27 2005-12-22 Gilmer David C Method for fabricating dual-metal gate device

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US675097A (en) * 1901-01-03 1901-05-28 Mortimer G Lewis Bench-vise.
CA2017284C (en) * 1989-07-04 1995-10-03 Kazutomi Suzuki Optical recording medium
JP2746289B2 (ja) * 1989-09-09 1998-05-06 忠弘 大見 素子の作製方法並びに半導体素子およびその作製方法
JP3689524B2 (ja) * 1996-03-22 2005-08-31 キヤノン株式会社 酸化アルミニウム膜及びその形成方法
US6451184B1 (en) * 1997-02-19 2002-09-17 Canon Kabushiki Kaisha Thin film forming apparatus and process for forming thin film using same
TW396510B (en) * 1998-06-03 2000-07-01 United Microelectronics Corp Shallow trench isolation formed by chemical mechanical polishing
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
EP1134303B1 (en) * 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6808806B2 (en) 2001-05-07 2004-10-26 Flex Products, Inc. Methods for producing imaged coated articles by using magnetic pigments
JP5118276B2 (ja) * 2001-09-05 2013-01-16 Jx日鉱日石金属株式会社 半導体装置用ゲート絶縁膜形成用スパッタリングターゲット、同製造方法及び半導体装置用ゲート絶縁膜
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
JP4524995B2 (ja) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
KR100741983B1 (ko) * 2004-07-05 2007-07-23 삼성전자주식회사 고유전율의 게이트 절연막을 갖는 반도체 장치 및 그 제조방법
US7514310B2 (en) * 2004-12-01 2009-04-07 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
US7745887B2 (en) * 2005-02-22 2010-06-29 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7445976B2 (en) * 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352917A (en) * 1990-07-04 1994-10-04 Tadahiro Ohmi Electronic device provided with metal fluoride film
US6933569B2 (en) * 2002-12-06 2005-08-23 Nec Corporation Soi mosfet
US20050282326A1 (en) * 2003-03-27 2005-12-22 Gilmer David C Method for fabricating dual-metal gate device
US20050233562A1 (en) * 2004-04-19 2005-10-20 Adetutu Olubunmi O Method for forming a gate electrode having a metal

Also Published As

Publication number Publication date
JP2009538542A (ja) 2009-11-05
CN101569005A (zh) 2009-10-28
JP5254220B2 (ja) 2013-08-07
CN101569005B (zh) 2012-07-04
WO2007140037A3 (en) 2008-12-24
WO2007140037A2 (en) 2007-12-06
US20070272975A1 (en) 2007-11-29
TW200746413A (en) 2007-12-16
US7445976B2 (en) 2008-11-04

Similar Documents

Publication Publication Date Title
TWI415255B (zh) 形成具有中間層之半導體器件之方法及其結構
JP5154222B2 (ja) 置換金属ゲート形成のための半導体構造の平坦化
US7084024B2 (en) Gate electrode forming methods using conductive hard mask
TWI443777B (zh) 形成mos元件的金屬閘的混合方法
TWI416667B (zh) 半導體元件及其製造方法
TWI462187B (zh) 半導體元件及其製造方法
EP3200222A1 (en) Integrating n-type and p-type metal gate transistors field
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
KR102402943B1 (ko) 산화물 반도체를 포함하는 박막 트랜지스터를 포함하는 반도체 구조물을 형성하는 방법
US8765588B2 (en) Semiconductor process
JP2007208260A (ja) 二重仕事関数金属ゲートスタックを備えるcmos半導体装置
TWI653762B (zh) 具有金屬閘極之半導體元件之製作方法
KR20130069289A (ko) 복수의 임계 전압을 가진 finfet들
US20070059874A1 (en) Dual Metal Gate and Method of Manufacture
JP2006344836A (ja) 半導体装置及びその製造方法
KR20170010706A (ko) 반도체 컴포넌트 및 이의 제조 방법
TWI663656B (zh) 具有金屬閘極之半導體元件及其製作方法
JP2009033032A (ja) 半導体装置及び半導体装置の製造方法
US7820555B2 (en) Method of patterning multilayer metal gate structures for CMOS devices
TWI671805B (zh) 半導體元件及其製作方法
JPWO2004017418A1 (ja) 半導体集積回路装置およびその製造方法
US7767512B2 (en) Methods of manufacturing a semiconductor device including CMOS transistor having different PMOS and NMOS gate electrode structures
TWI625792B (zh) 半導體元件及其製作方法
CN104299994B (zh) 晶体管及晶体管的形成方法
TW201347044A (zh) 具有金屬閘極之半導體元件之製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees