TWI416667B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI416667B
TWI416667B TW098128780A TW98128780A TWI416667B TW I416667 B TWI416667 B TW I416667B TW 098128780 A TW098128780 A TW 098128780A TW 98128780 A TW98128780 A TW 98128780A TW I416667 B TWI416667 B TW I416667B
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
forming
metal
region
Prior art date
Application number
TW098128780A
Other languages
English (en)
Other versions
TW201010010A (en
Inventor
Peng Fu Hsu
Kang Cheng Lin
Kuo Tai Huang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201010010A publication Critical patent/TW201010010A/zh
Application granted granted Critical
Publication of TWI416667B publication Critical patent/TWI416667B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

半導體元件及其製造方法
本發明係有關於一種電子元件,特別是有關於一種半導體積體電路。
半導體積體電路工業經歷快速的成長,積體電路在材料技術和設計方面已經演進數個世代,其中每個世代均較前一個世代微縮且電路更複雜。然而,上述的演進係增加積體電路製程和製造上的困難度,因此,為了繼續演進,需要在製程和製造技術上有更進一步的發展。
在積體電路演進的過程中,功能密度(例如單位晶片面積的內連線元件密度)一般來說係增加,而幾何尺寸(例如製程所能製作出來最小的單元或線)係減小。此微縮製程一般具有增加製作效率和減低相關成本等好處,此外微縮製程尚產生相對較高的能量消耗,而其可以藉由使用低耗能元件(例如互補式金氧半導體CMOS元件)解決。互補式金氧半導體元件一般係形成有閘極氧化層和多晶矽閘電極。業界需要將閘極氧化層和多晶矽閘電極以高介電常數介電層和金屬閘電極取代,以在特徵尺寸持續的減小時,改進元件效能。然而,N型金氧半導體元件(NMOS)和P型金氧半導體元件(PMOS)在其對應的閘極結構需要不同的功能函數。
本發明一實施例在較大範圍之形式提供一種半導體元件之製造方法。提供一半導體基底,具有一第一區和一第二區,形成一高介電常數介電層於半導體基底上方,形成一蓋層於第一區之高介電常數介電層上方,形成一第一金屬層於第一區之蓋層上方和第二區之高介電常數介電層上方,形成一第一閘極堆疊於第一區中,和一第二閘極堆疊於第二區中,在第二閘極堆疊之第一金屬層上進行一處理製程時,保護第一閘極堆疊之第一金屬層,及形成一第二金屬層於第一閘極堆疊之第一金屬層上方和第二閘極堆疊之處理過的第一金屬層上方。
本發明另一實施例在較大範圍之形式提供一種半導體元件。半導體元件包括一半導體基底,一形成於半導體基底中之第一電晶體和一形成於半導體基底中之第二電晶體。第一電晶體具有一閘極結構,包括一形成於半導體基底上方之高介電常數介電層,一形成於高介電常數介電層上方之蓋層,一形成於蓋層上方之未處理之第一金屬層及一形成於未處理之第一金屬層上方之第二金屬層。第二電晶體具有一閘極結構,包括一形成於半導體基底上方之高介電常數介電層,一形成於高介電常數介電層上方之處理過之第一金屬層,及一形成於處理過之第一金屬層上方之第二金屬層。
本發明又另一實施例在較大範圍之形式提供一種半導體元件之製造方法。提供一半導體基底,具有一第一區和一第二區,形成一高介電常數介電層於半導體基底上方,形成一蓋層於第一區之高介電常數介電層上方,形成一第一金屬層於第一區之蓋層上方和第二區之高介電常數介電層上方,第一金屬層具有第一功函數,形成一第一閘極堆疊於第一區中,和一第二閘極堆疊於第二區中,形成一第二金屬層於第二閘極堆疊之第一金屬層上方,第二金屬層具有第二功函數,及形成一第三金屬層於第一閘極堆疊之第一金屬層上方和第二閘極堆疊之第二金屬層上方。
為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉一較佳實施例,並配合所附圖式,作詳細說明如下:
以下係描述本發明之各種實施例或範例,以描述本發明之各種不同的特徵,以下描述係揭示本發明之技術特徵,但不用以限定本發明,並且以下描述於第一特徵上形成第二特徵可包括第一特徵和第二特徵直接接觸的實施例,或包括第一特徵和第二特徵間形成有額外特徵(第一特徵和第二特徵係不直接接觸)的實施例,為簡潔和清楚,各種特徵可以不同的比例描繪。
請參照第1圖,其揭示本發明一實施例包括雙金屬閘極結構之半導體元件的製造方法100,另外,請參照第2A圖至2I圖,其揭示第1圖方法100在不同階段半導體元件300的剖面圖。為更了解本發明步驟,第2A圖至2I圖係簡化至分別著重NMOS元件和PMOS元件之雙金屬閘極結構的形成。舉例來說,半導體元件300可包括以下沒有揭示的圖樣:輕摻雜汲極(LDD)區、間隙壁、源極/汲極區、矽化物區、接觸蝕刻停止層和其它適合之圖樣。此外,可理解的是,本發明之部份半導體元件300可以一般的CMOS製程流程製作,因此在以下僅簡要的描述一些製程。
半導體元件300可以包括閘極最初製程和閘極最後製程之混合製程製作。在閘極最初製程中,可先形成金屬閘極結構,且接著可利用CMOS製程製作最後的元件。在閘極最後製程中,可首先形成虛設(dummy)多晶矽閘極結構且後續可進行一般的CMOS製程,直到沉積一層間介電層,接著可移除虛設多晶矽閘極結構,將其以金屬閘極結構取代。在此混合製程中,可先形成元件之一種型態的金屬閘極結構,如以下所述,可再形成另一種型態的金屬閘極結構。舉例來說,可先形成NMOS元件之N型金屬,再形成PMOS元件之P型金屬。
方法100首先進行方塊110的步驟,提供一半導體基底,基底包括一第一區和一第二區,在第2A圖中,半導體元件300可包括例如矽基底之半導體基底302,此外,基底302也可包括鍺化矽、砷化鎵或其它適合的半導體材料。基底302可更包括其它圖樣,例如各種摻雜區,如P井、N井、埋藏層或磊晶層,另外,基底可包括例如絕緣層上有矽(SOI)之半導體層上有絕緣層之結構。在其它實施例中,半導體基底302可包括一摻雜磊晶層、梯度(gradient)半導體層及/或可包括一半導體層位於另一型態之半導體層上,例如矽層位於矽鍺層上。在另一範例中,化合物半導體結構可包括一多層矽結構或矽基底可包括多層化合物半導體結構。
半導體元件300可更包括形成於基底302中例如淺溝槽隔離圖樣之隔離結構304,以隔離基底中之主動區306和308,隔離結構可以下述材料形成:氧化矽、氮化矽、氮氧化矽、摻氟玻璃(FSG)及/或此技術領域中已知的低介電常數材料。主動區306可配置成為NMOS元件,而主動區308可配置成成為PMOS元件。
半導體元件300可更包括形成於基底302上之中間層,中間層可包括氧化矽層(例如熱氧化層或化學沉積氧化層),其厚度可介於5埃~10埃。方法100接著進行方塊120所示的步驟,在基底上形成高介電常數介電層,半導體元件300可更包括形成於中間層上的高介電常數介電層312,其中高介電常數介電層312可以原子層沉積法(ALD)或其它適合的技術形成。高介電常數介電層312之厚度可以為10埃~30埃,高介電常數介電層312可包括氧化鉿(HfO2 )。另外,高介電常數介電層312可選擇性地包括以下高介電常數材料:矽氧化鉿(HfSiO)、氮氧化鉿矽(HfSiON)、鉭氧化鉿(HfTaO)、鈦氧化鉿(HfTiO)、鋯氧化鉿(HfZrO)和上述之組合。
方法100接著進行方塊130所示的步驟,於第一區的高介電常數介電層上形成一蓋層。半導體元件300可更包括一蓋層,以調整金屬層之功函數,適當地作為NMOS電晶體元件306或PMOS電晶體元件308。舉例來說,例如氧化鑭(LaOx )之蓋層314可形成於NMOS元件306上。蓋層314之厚度可以為3埃~20埃。蓋層314之形成方式可以為:沉積一蓋層於基底302上,使用光阻圖形化蓋層,以移除PMOS元件308上之部份。值得注意的是,蓋層314包括高熱預算(thermal budget),以承受後續製程。另外,一例如氧化鋁(Al2 O3 )之蓋層(未繪示)可選擇性地形成於高介電常數介電層下之PMOS區308中。
方法100接著進行方塊140所示的步驟,形成第一金屬層於第一區之蓋層上和第二區之高介電常數介電層上。在第2B圖中,半導體元件300可更包括NMOS元件306中形成於蓋層314上方和PMOS元件中形成於高介電常數介電層312上方之金屬層316。金屬層316可包括N型功函數金屬(N型金屬),舉例來說,金屬層316可包括厚度介於10埃~200埃氮化鈦。金屬層316可以各種的沉積技術形成,例如化學氣相沉積法(CVD)、物理氣相沉積法(PVD)、電鍍或其它適合的技術。另外,金屬層316也可選擇性的包括碳化鉭、氮化鉭或其它適合的金屬。
方法100接著進行方塊150所示的步驟,於第一金屬層上形成多晶矽層。在第2C圖中,半導體元件300可更包括以適當的沉積製程形成於N型金屬層316上方之多晶矽層(或多晶層)318。多晶層318之厚度可以約為400~800埃。值得注意的是,金屬層316有助於減少後續製程多晶層318和高介電常數介電層312間之費米能階釘札(fermi level pinning)效應。半導體元件300可更包括一形成於多晶層318上之硬式罩幕層320(例如氮化矽或氮氧化矽),硬式罩幕層320的厚度可以為100埃~400埃。另外,半導體元件300可包括抗反射塗佈層或底部抗反射塗佈層,以改善圖形化光阻層之微影製程。舉例來說,圖形化光阻層可形成於硬式罩幕層320上,且於NMOS元件306上包括一圖案321,於PMOS元件308上包括一圖案322,上述圖案可321、322用來經由乾式或濕式蝕刻製程圖形化硬式罩幕層320。
方法100接著進行方塊160所示的步驟,於第一區形成第一閘極堆疊,於第二區形成第二閘極堆疊。在第2D圖中,圖形化硬式罩幕層可用來經由乾式蝕刻製程、濕式蝕刻製程或上述之組合於NMOS元件306形成閘極堆疊331,且於PMOS元件308形成閘極堆疊332。閘極堆疊331可包括一高介電常數介電層312n、一蓋層314n、一N型金屬層316n和一多晶矽層318n。閘極堆疊332可包括一中間層、一高介電常數介電層312p、一N型金屬層316p和一多晶矽層318p。可了解的是,半導體元件300可繼續進行CMOS元件製程,以形成各種結構,例如輕摻雜汲極(LDD)區、位於閘極堆疊側壁上之間隙壁、源極/汲極區等,直到沉積層間介電層。後續,可於閘極最後製程進行化學機械研磨(CMP)製程,以分別暴露閘極堆疊331、332之多晶層318n、318p。另外,可進行回蝕刻製程,暴露多晶層318n、318p。
方法100接著進行方塊170所示的步驟,多晶層可自第二閘極堆疊移除,且部份之多晶層可自第一閘極堆疊移除。在第2E圖中,可進行N/P圖形化製程,以部份移除PMOS元件308之多晶層318p。舉例來說,可進行N/P微影圖形化製程(PMOS之N/P圖形化),以光阻保護NMOS元件306。微影製程可包括旋轉塗佈、軟烤、曝光、預烤、顯影、沖洗、乾燥和其它適合的製程。另外,可採用以下方式進行N/P圖形化製程:沈浸微影、電子束微影或其它適合的製程。可以乾式蝕刻、濕式蝕刻或其它適合的製程部份移除PMOS元件308中的多晶層318p。在部份移除PMOS元件308中的多晶層318p後,可以移除或進行灰化製程剝除光阻。
在第2F圖中,可以濕蝕刻製程移除PMOS元件308中多晶層318p剩下之部份和NMOS元件306中部份的多晶層318n,濕蝕刻製程可具有高選擇性,因此製程可停止在PMOS元件308中的N型金屬層316p。值得注意的是,PMOS元件308中的N型金屬層316p可用作蝕刻阻障層,因此,NMOS元件306中多晶層338n的部份可遺留在N型金屬層316n上。值得注意的是,可另外進行上述第2E圖至第2F圖討論之製程,其係藉由完全移除PMOS元件308側的多晶層318p,而不是部份地移除多晶層318p,且之後進行乾式或濕式蝕刻製程,選擇性的移除部份NMOS元件306側的多晶層318n。
方法100接著進行方塊180所示的步驟,於第二元件之第一金屬層上進行一處理製程。在第2G圖中,可於PMOS元件308之N型金屬層316p上進行處理製程340。NMOS元件306中剩餘的部份多晶層338n可保護其下的N型金屬層316n,避免該層進行處理製程340。處理製程340可包括氧退火製程或電漿處理製程。處理製程之溫度可小於500℃,壓力可介於2atm~10atm之間,溫度的設定要不損害半導體元件之其它結構,例如矽化物結構。另外,電漿處理製程可包括室溫下的CF4 或SF6 。於PMOS元件308之N型金屬層316p的處理製程340可將金屬層316p之功函數調整為P型功函數金屬(例如PMOS Vt/功函數工程)。
方法100接著進行方塊190所示的步驟,第二金屬層可沉積於第一區之部份移除的多晶層上方和第二區之處理之第一金屬層上方。在第2H圖中,可沉積各種的金屬層以於PMOS元件308中形成P型金屬,且用以完成閘極結構。舉例來說,一金屬層350可形成於NMOS元件306中剩餘的多晶層338n上,和PMOS元件304中處理之金屬層316p上。金屬層350可包括厚度為20埃~100埃之WN或TiN。金屬層350可藉由化學氣相沉積製程、原子層沉積製程、向上堆積物理氣相沉積製程(bottom-up PVD)或其它適合的方法形成。一例如鈦之阻障金屬層352可形成於金屬層350上。金屬層352之厚度可以為20埃~200埃,金屬層352可藉由化學氣相沉積製程、原子層沉積、製程向上堆積物理氣相沉積製程(bottom-up PVD)或其它適合的方法形成。一例如鋁、銅、鎢或其它適合之材料的填充金屬層354可藉由化學氣相沉積製程、原子層沉積、製程向上堆積物理氣相沉積製程或其它適合的方法形成於金屬層上。金屬層354之厚度可以為1000埃~8000埃。
可於金屬層350、352、354上進行化學機械研磨製程,平坦化NMOS元件306和PMOS元件308之閘極結構。化學機械研磨製程可具有高的選擇性,以使閘極和層間介電層具有大體上平坦的表面。化學機械研磨製程亦可具有低的淺碟效應(dishing effect)及/或金屬腐蝕(metal erosion effect)。第2I圖係揭示NMOS元件306和PMOS元件308之雙金屬閘極結構331、332。NMOS元件306之閘極結構331可包括高介電常數介電層312n、蓋層(LaOx )314n、第一金屬層(TiN)316n、多晶層338n、第二金屬層(WN或TiN)350、金屬阻障層(Ti)352和填充金屬層(Al)354。PMOS元件308之閘極結構332可包括高介電常數介電層312p(LaOx 之蓋層係移除)、第一金屬層(TiN)316p、第二金屬層(WN或TiN)350、金屬阻障層(Ti)352和填充金屬層(Al)354。值得注意的是,半導體元件可進一步進行CMOS製程,形成各種圖樣,例如接觸/插塞、內連接金屬層、內連接介電層、保護層等。
值得注意的是,多晶層338n剩餘之部份係遺留在NMOS元件304中。在第2C圖中可於多晶層318上進行預閘極摻雜製程(例如N+摻雜製程),以降低NMOS元件306之最終閘極結構之剩餘多晶層338n的電阻。在另一實施例中,可進行第二自對準矽化(salicidation)製程,以降低P型金屬沉積和化學機械研磨製程後剩餘多晶層338n之電阻。另外,在一些其它的實施例中,在第2H圖討論之處理製程後340和在沉積各種P型金屬層之前,可完全移除剩餘的多晶層。然而,NMOS元件306中的N型金屬層316n可具有較大的厚度,以有效阻擋NMOS元件中其上各種金屬層,避免改變功函數。
此外,在另一實施例中,NMOS元件306和PMOS元件308之虛設多晶層318n、318p可分別藉由濕蝕刻或其它適合的製程自閘極堆疊移除。一犧牲氧化插塞可形成於溝槽(藉由移除虛設多晶層所形成的)中。接著,可進行N/P圖形化製程以保護NMOS元件306中的溝槽,且暴露PMOS元件308中的溝槽。可進行一乾蝕刻製程以移除PMOS元件308中的氧化插塞,後續可於PMOS元件308中的N型金屬層進行第2G圖之處理製程340(PMOS之Vt/功函數的工程),NMOS元件306中的犧牲氧化插塞可在處理製程340中保護N型金屬層316p。在處理製程後,可移除犧牲氧化插塞,並且如第2H圖所討論的,NMOS元件306和PMOS元件308的溝槽可沉積第二金屬。
請參照第3圖,其揭示本發明另一方法400製造一對於NMOS元件和PMOS元件分別有雙閘極結構之半導體元件的流程圖。請參照第4A圖~第4G圖,其揭示第3圖方法在不同階段半導體元件500的剖面圖,半導體元件500係類似於第2圖之半導體元件300,因此,為了簡潔和清楚,第2圖和第4圖相類似的圖樣係採用相同的標號,另外,除了以下所討論的,方法400係和第1圖的方法100相類似。
在第4A圖中,方法400以方塊410作為開始,提供一半導體基底,其具有一第一區和一第二區(類似於第1圖之方塊110)。方法400接著進行方塊420所示的步驟,將高介電常數介電層形成於半導體基底上方(類似於第1圖之方塊120)。方法400接著進行方塊430所示的步驟,將一蓋層形成於高介電常數介電層之第一區上方(類似於第1圖之方塊130)。方法400接著進行方塊440所示的步驟,形成第一金屬層於蓋層之第一區上方和高介電常數介電層之第二區上方(類似於第1圖之方塊140)。第一金屬層可包括一薄N型金屬層,例如厚度約為20埃之氮化鈦。方法400接著進行方塊450所示的步驟,形成一多晶矽層於第一金屬層上方(類似於第1圖之方塊150)。方法400接著進行方塊460所示的步驟,形成第一閘極堆疊於第一區上方,形成第二閘極堆疊於第二區上方(類似於第1圖之方塊160)。
方法400接著進行方塊470所示的步驟,從第一閘極堆疊和第二閘極堆疊移除多晶層。在第4B圖中,分別藉由乾蝕刻製程、濕蝕刻製程或乾蝕刻製程與濕蝕刻製程之結合移除NMOS元件306和PMOS元件308之多晶層318n、318p,因此,NMOS元件306之閘極堆疊331和PMOS元件308之閘極堆疊332會分別產生溝槽502n、502p。方法400接著進行方塊480所示的步驟,將第二金屬層形成於第二閘極堆疊之第一金屬層上方。在第4C圖中,藉由化學氣相沉積製程、原子層沉積製程、向上堆積物理氣相沉積製程(bottom-up PVD)或其它適合的方法沉積一P型功函數金屬510,P型金屬510可分別填入閘極堆疊331、332之溝槽502n、502p中。在第4D圖和第4E圖,可藉由乾蝕刻製程、濕蝕刻製程或乾蝕刻製程與濕蝕刻製程之結合,選擇性的移除NMOS元件306之閘極堆疊331之溝槽502n中的P型金屬510,舉例來說,可進行一圖案化製程,以使用一光阻保護PMOS元件308,其微影製程可包括旋轉塗佈、軟烤、曝光、預烤、顯影、沖洗、乾燥和其它適合的製程。之後移除溝槽502n中未被保護之P型金屬510。因此,溝槽502n中之P型金屬510係未被蝕刻。
方法400接著進行方塊490所示的步驟,將第三金屬層形成於第一閘極堆疊之第一金屬層上方和第二閘極堆疊之第二金屬層上方。在一些實施例中,第三金屬層可包括低功函數之金屬。在第4F圖中,NMOS元件306之閘極堆疊331和PMOS元件308之閘極堆疊之溝槽502n、502p中剩餘的部份係分別以金屬作為結束,舉例來說,一例如鈦之阻障金屬層520可形成於NMOS元件306之N型金屬層316n上方和PMOS元件308之P型金屬510上方。後續,形成一例如鋁之填充金屬層530於阻障金屬層520上方。金屬層520、530可類似於第2H圖之金屬層352、354。於金屬層520、530上進行一CMP製程,以平坦化NMOS元件306和PMOS元件308之閘極堆疊。CMP製程可具有高的選擇性,以使閘極和層間介電層(ILD)大體上形成平坦的表面。化學機械研磨製程亦可具有低的淺碟效應(dishing effect)及/或金屬腐蝕(metal erosion effect)。
請參照第4G圖,其揭示NMOS元件306和PMOS元件308之雙金屬閘極結構331、332的剖面圖。NMOS元件306之閘極結構331可包括高介電常數介電層312n、蓋層(LaOx )314n、第一金屬層(TiN)316n、多晶層338n、金屬阻障層(Ti)520和填充金屬層(Al)530。PMOS元件308之閘極結構332可包括高介電常數介電層312p(蓋層LaOx 係移除)、第一金屬層(TiN)316p、第二金屬層(WN或TiN)510、金屬阻障層(Ti)520和填充金屬層(Al)530。值得注意的是,PMOS元件308中P型金屬層510的厚度可足夠厚,以調整閘極堆疊之有效功函數,使其表現為PMOS元件。另外,NMOS元件之蓋層(LaOx )314n可調整閘極堆疊之有效功函數,使其表現為NMOS元件306。此外,可使用薄N型金屬層(TiN)316n、316p減少閘極最初製程之多晶層318和高介電常數介電層312間的費米能階釘札(fermi level pinning,FLP)效應,且薄N型金屬層亦用作虛設多晶移除製程的蝕刻阻障層。
本發明在不同之實施例係達成各種功效,可了解的是,不同實施例提供不同的益處,而不是所有實施例均提供特定的優點,具例來說,本發明方法提供簡單且有成本效益之方法,用來製作CMOS製程流程之雙金屬閘極結構,另外,在此所揭示之方法和元件係可容易的和現今CMOS製程流程和半導體設備整合。在一些實施例中,PMOS Vt/功函數工程可藉由以氧或電漿處理製程處理金屬實施(在閘極最後製程之前),且很容易和混和製程(hybrid process)整合,以提供NMOS元件和PMOS元件之雙金屬閘極。虛設多晶或犧牲氧化插塞可用來在處理製程中保護NMOS元件之N型金屬。在一些其它實施例中,NMOS元件和PMOS元件之雙高介電常數和雙金屬閘極堆疊可在混和製程(hybrid process)中形成,其NMOS元件係形成於閘極最初製程,PMOS元件係形成於閘極最後製程。因此,可在不犧牲任一的情形下,達成NMOS和PMOS Vt/功函數。NMOS Vt可藉由蓋層(第二高介電常數)調整,PMOS Vt可藉由閘極最後金屬閘極調整。
雖然本發明已揭露較佳實施例如上,然其並非用以限定本發明,任何熟悉此項技藝者,在不脫離本發明之精神和範圍內,當可做些許更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定為準。
100、400...方法
110、120、130、140、150、160、170、180、190、410、420、430、440、450、460、470、480、490...方塊
300...半導體元件
302...半導體基底
304...隔離結構
308...NMOS區
308...PMOS區
312、312n、312p...高介電常數介電層
314、314n...蓋層
316...金屬層
316n、316p...N型金屬層
318、338n...多晶層
318n、318p...多晶矽層
320...硬式罩幕層
321、322...圖案
331、332...閘極堆疊
340...處理製程
350、352...金屬層
354、530...填充金屬層
500...半導體元件
502n、502p...溝槽
510...P型金屬
520...阻障金屬層
第1圖顯示一流程圖,揭示本發明一實施例包括雙金屬閘極結構之半導體元件的製造方法。
第2A圖至2I圖揭示第1圖方法在不同階段半導體元件的剖面圖。
第3圖顯示一流程圖,揭示本發明另一實施例之半導體元件的製造方法。
第4A圖至4G圖揭示第3圖方法在不同階段半導體元件的剖面圖。
306...NMOS區
308...PMOS區
312n...高介電常數介電層
312p...高介電常數介電層
314n...蓋層
316n...N型金屬層
316p...N型金屬層
331...閘極堆疊
332...閘極堆疊
338n...多晶層
350...金屬層
352...金屬層
354...填充金屬層

Claims (20)

  1. 一種半導體元件之製造方法,包括:提供一半導體基底,具有一第一區和一第二區;形成一高介電常數介電層於該半導體基底上方;形成一蓋層於該第一區之高介電常數介電層上方;形成一第一金屬層於該第一區之蓋層上方和該第二區之高介電常數介電層上方;之後,形成一第一閘極堆疊於該第一區中,和一第二閘極堆疊於該第二區中;在該第二閘極堆疊之第一金屬層上進行一處理製程時,保護該第一閘極堆疊之第一金屬層;及形成一第二金屬層於該第一閘極堆疊之第一金屬層上方和該第二閘極堆疊之處理過的第一金屬層上方。
  2. 如申請專利範圍第1項所述之半導體元件之製造方法,其中該第一金屬層包括N型功函數金屬,該第二金屬層包括P型功函數金屬。
  3. 如申請專利範圍第2項所述之半導體元件之製造方法,其中該處理製程包括氧退火製程。
  4. 如申請專利範圍第3項所述之半導體元件之製造方法,其中該氧退火製程係在小於500℃的溫度下進行。
  5. 如申請專利範圍第2項所述之半導體元件之製造方法,其中該處理製程包括電漿處理製程,且該電漿處理製程係使用CF4 和SF6 之一。
  6. 如申請專利範圍第1項所述之半導體元件之製造方法,在形成該第一和第二閘極堆疊之前,更包括形成一多晶矽層於該第一金屬層上;及其中保護該第一金屬層包括從該第一閘極堆疊移除部份該多晶矽層,且從該第二閘極堆疊移除所有的多晶矽層。
  7. 如申請專利範圍第6項所述之半導體元件之製造方法,更包括摻雜該多晶矽層,以減小該多晶矽層之阻值;及其中形成該第二金屬層於該第一閘極堆疊之第一金屬層上方包括形成該第二金屬層於該第一閘極堆疊中部份移除之多晶矽層上。
  8. 如申請專利範圍第1項所述之半導體元件之製造方法,其中保護該第一閘極堆疊中之第一金屬層包括形成一犧牲氧化插塞於該第一閘極堆疊之第一金屬層上;且更包括在進行該處理製程之後,從該第一閘極堆疊移除該犧牲氧化插塞。
  9. 一種半導體元件,包括:一半導體基底;一第一電晶體,形成於該半導體基底中,該第一電晶體具有一閘極結構,包括:一高介電常數介電層,形成於該半導體基底上方;一蓋層,形成於該高介電常數介電層上方;一未處理之第一金屬層,形成於該蓋層上方;及一第二金屬層,形成於該未處理之第一金屬層上方;一第二電晶體,形成於該半導體基底中,該第二電晶體具有一閘極結構,包括:該高介電常數介電層,形成於該半導體基底上方;一處理過之第一金屬層,形成於該高介電常數介電層上方;及一第二金屬層,形成於該處理過之第一金屬層上方。
  10. 如申請專利範圍第9項所述之半導體元件,其中該第一電晶體是NMOS元件,該第二電晶體是PMOS元件。
  11. 如申請專利範圍第9項所述之半導體元件,其中該第一電晶體更包括一摻雜多晶矽層,形成於該未處理之第一金屬層和該第二金屬層間。
  12. 如申請專利範圍第9項所述之半導體元件,其中該第二金屬層包括P型功函數金屬。
  13. 如申請專利範圍第9項所述之半導體元件,其中該蓋層包括LaOx
  14. 如申請專利範圍第9項所述之半導體元件,其中該未處理之第一金屬層包括一N型功函數金屬,且該處理過之第一金屬層包括氧退火之N型功函數金屬和電漿處理過之N型功函數金屬之一。
  15. 一種半導體元件之製造方法,包括:提供一半導體基底,具有一第一區和一第二區;形成一高介電常數介電層於該半導體基底上方;形成一蓋層於該第一區之高介電常數介電層上方;形成一第一金屬層於該第一區之蓋層上方和該第二區之高介電常數介電層上方,該第一金屬層具有第一功函數;形成一第一閘極堆疊於該第一區中,和一第二閘極堆疊於該第二區中;形成一第二金屬層於該第二閘極堆疊之第一金屬層上方,該第二金屬層具有第二功函數;及形成一第三金屬層於該第一閘極堆疊之第一金屬層上方和該第二閘極堆疊之第二金屬層上方。
  16. 如申請專利範圍第15項所述之半導體元件之製造方法,其中該第一功函數包括N型功函數,該第二功函數包括P型功函數。
  17. 如申請專利範圍第15項所述之半導體元件之製造方法,更包括形成一中間層於該半導體基底和該高介電常數介電層間。
  18. 如申請專利範圍第15項所述之半導體元件之製造方法,其中形成該第三金屬層之步驟包括:形成一阻障金屬層;形成一填充金屬層於該阻障金屬層上方;於該填充金屬層和該阻障金屬層上進行一化學機械研磨製程,以平坦化該第一閘極堆疊和該第二閘極堆疊。
  19. 如申請專利範圍第15項所述之半導體元件之製造方法,更包括:在形成該第一和第二閘極堆疊之前,形成一多晶矽層於該第一金屬層上方;及在形成該第一和第二閘極堆疊之後,從該第一和第二閘極堆疊移除該多晶矽層,因此分別形成一第一溝槽和一第二溝槽。
  20. 如申請專利範圍第15項所述之半導體元件之製造方法,其中形成該蓋層於該第一區之高介電常數介電層上方包括:形成一氧化鑭層於該高介電常數介電層上方;圖形化一罩幕層,以保護該第一區之氧化鑭層;及蝕刻該未被保護之第二區的氧化鑭層。
TW098128780A 2008-08-27 2009-08-27 半導體元件及其製造方法 TWI416667B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9231508P 2008-08-27 2008-08-27
US12/424,739 US8105931B2 (en) 2008-08-27 2009-04-16 Method of fabricating dual high-k metal gates for MOS devices

Publications (2)

Publication Number Publication Date
TW201010010A TW201010010A (en) 2010-03-01
TWI416667B true TWI416667B (zh) 2013-11-21

Family

ID=41724038

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098128780A TWI416667B (zh) 2008-08-27 2009-08-27 半導體元件及其製造方法

Country Status (3)

Country Link
US (3) US8105931B2 (zh)
CN (1) CN101661904B (zh)
TW (1) TWI416667B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010010266A (ja) * 2008-06-25 2010-01-14 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US8105931B2 (en) 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
US20110147831A1 (en) * 2009-12-23 2011-06-23 Steigerwald Joseph M Method for replacement metal gate fill
US8836035B2 (en) * 2010-03-10 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing gate resistance
CN102253787B (zh) 2010-05-21 2014-12-10 宸鸿科技(厦门)有限公司 电感式触控传感器及其触摸点侦测方法
US20120018813A1 (en) * 2010-07-22 2012-01-26 International Business Machines Corporation BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
US9070784B2 (en) 2011-07-22 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
US8580641B2 (en) * 2011-07-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing high-k dielectric metal gate CMOS
US9595443B2 (en) 2011-10-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US9177870B2 (en) * 2011-12-16 2015-11-03 Taiwan Semiconductor Manufacturing Company Ltd. Enhanced gate replacement process for high-K metal gate technology
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8772114B2 (en) 2012-03-30 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate semiconductor device and method of fabricating thereof
US8753931B2 (en) * 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
US9000527B2 (en) * 2012-05-15 2015-04-07 Apple Inc. Gate stack with electrical shunt in end portion of gate stack
US9991375B2 (en) 2012-05-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a semiconductor device
CN103578991B (zh) * 2012-07-24 2017-12-12 中国科学院微电子研究所 半导体器件制造方法
US8846474B2 (en) * 2012-08-20 2014-09-30 Tokyo Electron Limited Dual workfunction semiconductor devices and methods for forming thereof
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US8796751B2 (en) 2012-11-20 2014-08-05 Micron Technology, Inc. Transistors, memory cells and semiconductor constructions
CN103871890B (zh) * 2012-12-18 2016-06-29 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其形成方法
EP2750167A1 (en) * 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
CN104218000B (zh) * 2013-06-05 2017-06-13 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104347411B (zh) * 2013-08-01 2018-04-13 中国科学院微电子研究所 金属栅电极等效功函数调节方法
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
JP6121350B2 (ja) * 2014-03-11 2017-04-26 マイクロソフト テクノロジー ライセンシング,エルエルシー 半導体装置及びその製造方法
CN106298658A (zh) * 2015-05-13 2017-01-04 北大方正集团有限公司 双层多晶硅cmos的制作方法
CN105047552A (zh) * 2015-08-26 2015-11-11 上海华力微电子有限公司 一种制备金属栅极的方法
US9837487B2 (en) 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
EP3413704A4 (en) 2016-02-11 2019-09-25 Somark Group Limited RADIO DEVICE FOR IMPLANTING AN ANIMAL, METHOD FOR PRODUCING A RADIO DEVICE FOR IMPLANTING AN ANIMAL, METHOD FOR PROVIDING ELECTRIC POWER TO A RADIO APPARATUS FIXED TO ANIMAL, METHOD FOR IMPLANTING A RADIO DEVICE INTO AN ANIMAL, ANIMAL WITH THE RADIO APPARATUS AND IMPLANTING THE RADIO APPARATUS IN A PET IMPLANT RADIO
TWI714583B (zh) 2016-05-16 2021-01-01 聯華電子股份有限公司 半導體裝置及其形成方法
TWI782109B (zh) * 2018-10-03 2022-11-01 聯華電子股份有限公司 製作半導體元件的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577126B (en) * 2002-03-28 2004-02-21 Fujitsu Ltd Semiconductor device with copper wirings
US20060023796A1 (en) * 2004-08-02 2006-02-02 Yo Yanagida ASK communication device
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2530990B2 (ja) * 1992-10-15 1996-09-04 富士通株式会社 薄膜トランジスタ・マトリクスの製造方法
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6468851B1 (en) * 2002-01-02 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS device with dual gate electrode
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US7005365B2 (en) * 2003-08-27 2006-02-28 Texas Instruments Incorporated Structure and method to fabricate self-aligned transistors with dual work function metal gate electrodes
US6872613B1 (en) * 2003-09-04 2005-03-29 Advanced Micro Devices, Inc. Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
JP2008510296A (ja) * 2004-08-13 2008-04-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ デュアル・ゲートcmosの製造
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
US7432567B2 (en) * 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
US7378713B2 (en) * 2006-10-25 2008-05-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with dual-metal gate structures and fabrication methods thereof
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
US8105931B2 (en) 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577126B (en) * 2002-03-28 2004-02-21 Fujitsu Ltd Semiconductor device with copper wirings
TWI252539B (en) * 2004-03-12 2006-04-01 Toshiba Corp Semiconductor device and manufacturing method therefor
US20060023796A1 (en) * 2004-08-02 2006-02-02 Yo Yanagida ASK communication device

Also Published As

Publication number Publication date
US9263445B2 (en) 2016-02-16
US20100052067A1 (en) 2010-03-04
US8105931B2 (en) 2012-01-31
US20120086085A1 (en) 2012-04-12
TW201010010A (en) 2010-03-01
US8853068B2 (en) 2014-10-07
CN101661904A (zh) 2010-03-03
US20150021705A1 (en) 2015-01-22
CN101661904B (zh) 2012-06-27

Similar Documents

Publication Publication Date Title
TWI416667B (zh) 半導體元件及其製造方法
US10978350B2 (en) Structure and method for metal gates with roughened barrier layer
TWI437708B (zh) 用於場效應電晶體之閘極電極以及場效應電晶體
TWI393218B (zh) 半導體裝置之製造方法
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
TWI462187B (zh) 半導體元件及其製造方法
TWI419264B (zh) 製造半導體裝置的方法
TWI419208B (zh) 半導體裝置的製造方法
TWI397951B (zh) 製造半導體裝置的方法
TWI393220B (zh) 半導體元件之製法
TWI429025B (zh) 製造半導體元件的方法與半導體元件
US9041118B2 (en) Replacement metal gate structure for CMOS device
TWI392030B (zh) 半導體裝置的製造方法
US8349680B2 (en) High-k metal gate CMOS patterning method
TWI600064B (zh) 半導體元件及其製作方法、以及半導體結構
US8835294B2 (en) Method for improving thermal stability of metal gate
US8679923B2 (en) Method for forming metal gate
TW201013850A (en) Method for forming metal gates in a gate last process
US10276447B2 (en) Semiconductor structures and methods of forming the same
TWI509702B (zh) 具有金屬閘極之電晶體及其製作方法
CN220856579U (zh) 半导体装置
US20230047598A1 (en) Semiconductor devices and methods of manufacture
KR20070002557A (ko) 듀얼 메탈 게이트를 구비하는 반도체소자 및 그의 제조방법