TWI393218B - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TWI393218B
TWI393218B TW098127686A TW98127686A TWI393218B TW I393218 B TWI393218 B TW I393218B TW 098127686 A TW098127686 A TW 098127686A TW 98127686 A TW98127686 A TW 98127686A TW I393218 B TWI393218 B TW I393218B
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
forming
metal
region
Prior art date
Application number
TW098127686A
Other languages
English (en)
Other versions
TW201013849A (en
Inventor
Ryan Chia Jen Chen
Yih Ann Lin
Jr Jung Lin
Yi Shien Mor
Chien Hao Chen
Kuo Tai Huang
Yi Hsing Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201013849A publication Critical patent/TW201013849A/zh
Application granted granted Critical
Publication of TWI393218B publication Critical patent/TWI393218B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

半導體裝置之製造方法
本發明係有關於半導體裝置之形成方法,特別是有關於一種將高介電常數/金屬閘極結構整合至CMOS製程中之半導體裝置形成方法。
半導體積體電路(IC)產業已經歷過快速的成長。IC材料和設計的技術進步使得IC的生產世代不停地推新,每個世代都較前個世代有更小及更複雜的電路。然而,這些進步也增加了製造IC製程的複雜性,因此IC製程也需要有同樣的進展才能實現更先進的積體電路IC製程。
在IC革新的過程中,功能密度(亦即每個晶片區域上互連裝置的數量)已普遍地增加,然而幾何尺寸(亦即在製程中所能創造的最小元件或線)也越來越小。這些縮小尺寸的製程通常能增加產品效能和提供較低的相關成本。但某些尺寸的下降也產生相對較高的功率消耗(power dissipation)值,其可用低功率消耗的元件例如互補型金氧半導體(CMOS)元件來因應。一般CMOS裝置是由閘極氧化物及多晶矽電極形成。因此,其所需要的是將閘極氧化物及多晶矽電極替換為高介電常數閘極介電質及金屬閘極電極,以改善元件縮小後之裝置效能。然而,當將高介電常數/金屬閘極元件整合至CMOS製程中時,會因為各種因素,例如材料相容性、製程複雜度及熱預算限制,而產生許多問題。
因此,業界需要的是一種整合高介電常數/金屬閘極至CMOS製造流程中的方法。
本發明提供一種半導體裝置之製作方法,包括:提供一半導體基材,具有一第一區域及一第二區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬層具有一第一功函數;移除該第二區域中部分的第一金屬層;之後,形成一半導體層,位於該第一區域中之該第一金屬層上及該第二區域中該經部分移除的第二金屬層上;形成一第一閘極堆疊於該第一區域中及形成一第二閘極堆疊於該第二區域中;由該第一閘極堆疊及該第二閘極堆疊中移除該半導體層而形成一第一溝槽及一第二溝槽;以及形成一第二金屬層於該第一溝槽中之第一金屬層上及該第二溝槽中經部分移除的第一金屬層上,該第二金屬層具有一第二功函數。
本發明也提供另一種半導體裝置之製造方法,包括:提供一半導體基材,具有一第一主動區域及一第二主動區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬具有一第一功函數;移除該第二主動區域中之該第一金屬層;形成一第二金屬層於該第一主動區域之該第一金屬層上及該第二主動區域之該高介電常數介電層上;之後,形成一矽層於該第二金屬層上;形成一第一閘極堆疊於該第一主動區域中及形成一第二閘極堆疊於該第二主動區域中,該第一閘極堆疊包含高介電常數介電層、該第一金屬層、該第二金屬層及該矽層,該第二閘極堆疊包含該高介電常數介電層、第二金屬層及該矽層;由該第一閘極堆疊及該第二閘極堆疊移除該矽層而形成一第一溝槽及一第二溝槽;以及形成一第三金屬層於該第一溝槽及該第二溝槽中之該第二金屬層上,該第三金屬層具有一第二功函數。
本發明也提供又一種半導體裝置之製作方法,包括:提供一半導體基材,具有一第一區域及一第二區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬具有一第一功函數;形成一第二金屬層於該第一金屬層上,該第二金屬具有一第二功函數;形成一矽層於該第二金屬層上;形成一第一閘極堆疊於該第一區域中及形成一第二閘極堆疊於該第二主動中,該第一及第二閘極堆疊皆包含該高介電常數介電層、該第一金屬層、該第二金屬層及該矽層;形成一層間介電層(ILD)於該第一及第二閘極堆疊上;在該層間介電層上進行一化學機械研磨(CMP)製程以暴露出該第一及第二閘極堆疊各自之該矽層;從該第二閘極堆疊移除該矽層及該第二金屬層而形成一第二溝槽;之後,從該第一閘極堆疊移除該矽層而形成一第一溝槽;以及形成一第三金屬層於該第二金屬層上以部分填滿該第一溝槽,及形成於該第一金屬層上以部分填滿該第二溝槽,該第三金屬層具有該第一功函數。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
本發明接下來將會提供許多不同的實施例以實施本發明中不同的特徵。各特定實施例中的組成及配置將會在以下作描述以簡化本發明。這些為實施例並非用於限定本發明。此外,一第一元件形成於一第二元件“上方”、“之上”、“之下”或“上”可包含實施例中的該第一元件與第二元件直接接觸,或也可包含該第一元件與第二元件之間更有其他額外元件使該第一元件與第二元件無直接接觸。各種元件可能以任意不同比例顯示以使圖示清晰簡潔。
參見第1A至1D圖,顯示為半導體裝置100於混成閘極製程(hybrid gate process)中各種製造階段的剖面圖,混成閘極製程包含前閘極製程及後閘極製程。在前閘極製程中,可先形成真實的金屬閘極結構,並可接著依照CMOS製程來製造最終的裝置。在後閘極製程中,可先形成虛置多晶矽閘極結構,並可接著進行正常的CMOS製程直至沉積層間介電層(ILD),之後可移除虛置多晶矽閘極結構並將其替換為真實的金屬閘極結構。在混成製程中,可先形成用於NMOS裝置的金屬閘極,並於最後形成用於PMOS裝置的金屬閘極,如以下之討論。或者,可先形成用於PMOS裝置的金屬閘極,最後再形成用於NMOS裝置的金屬閘極。可知的是,半導體裝置100可用習知的CMOS技術製程製造,故在此僅作簡略描述。
可知的是,第1A至1D圖已作簡化以便於使本發明實施例之概念易於明瞭。例如,半導體100可進行CMOS製程直至沉積層間介電層(ILD),且其可形成各種元件,但在此未顯示,例如閘極堆疊、輕摻雜源極/汲極(LDD)區、側壁間隔物、源極/汲極區、矽化物區、接觸蝕刻停止層(CESL)及其他合適元件。再者,第1A至1D圖是以概念的方式顯示NMOS裝置及PMOS裝置各自的閘極結構。在第1A圖中,半導體裝置100顯示為在層間介電層(ILD)上進行化學機械研磨(CMP)製程以暴露出PMOS區域及NMOS區域中的閘極結構之虛置多晶矽層。
在第1A圖中,半導體裝置100可包含半導體基材102,例如矽基材。或者,基材102可包含鍺化矽、砷化鎵或其他合適半導體材料。基材102更可包含其他元件,例如各種摻雜區域、深埋層(buried layer)及/或磊晶層(epi layer)。此外,基材102可為絕緣層上覆半導體,例如絕緣層上覆矽(SOI)。在其他實施例中,半導體基材102可包含摻雜的磊晶層、梯度半導體層及/或可更包含半導體層上覆有另外一種型態的半導體層,例如鍺化矽層上覆矽層。在其他實施例中,化合物半導體基材可包含多層矽結構,或矽基材可包含多層化合物半導體結構。
半導體裝置100可進一步包含隔離結構(在此未顯示),例如形成在基材102中的淺溝槽隔離(STI),用以隔離基材中的主動區域104及106。隔離結構可由氧化矽、氮化矽、氮氧化矽、氟摻雜玻璃(FSG)及或習知的低介電常數介電材料形成。主動區104可作為N型金氧半導體電晶體裝置(稱為NMOS)及主動區106可作為P型金氧半導體電晶體裝置(稱為PMOS)。
半導體裝置100可更包含在形成於基材102上之界面層(在此未顯示)。界面層可包含氧化矽層,其厚度約為5至15。半導體裝置100更可包含形成於界面層上之高介電常數介電層108。高介電常數介電層108可由原子層沉積法(ALD)或其他合適技術形成,其厚度約為10至30。高介電常數介電層108可包含氧化鉿(HfO2 ),或也可包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLuO或前述之組合。
半導體裝置100可進一步包含蓋層,用以調控金屬層的功函數(對於閘極電極),以使PMOS裝置及NMOS裝置各自具有適當的效能。例如,可在PMOS區域106中形成如氧化鋁(Al2 O3 )之蓋層110,其厚度約為3至20。可於NMOS區域104中形成如氧化鑭(LaOx )之蓋層112,其厚度約為3至20
半導體裝置100可進一步包含形成於高介電常數介電層108上之金屬層114。金屬層114可具有第一功函數,例如N型金屬功函數。例如,金屬層114可包含氮化鈦。金屬層114之厚度約為10至200。氮化鈦金屬層/氧化鑭蓋層可使N型金屬具有有效的功函數。金屬層114可由各種沉積方法形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD或濺鍍)、電鍍或其他合適技術。此外,金屬層114可選擇性地包含碳化鉭、氮化鉭或其他合適金屬。半導體裝置100可更包含形成於金屬層114上的多晶矽層120,其可由各種沉積合適技術形成。多晶矽層120之厚度約為400至800。半導體裝置100更可包含形成於多晶矽層120上之硬罩幕層(在此未顯示),例如氮化矽、或氮氧化矽。
在第1B圖中,將在PMOS區域中的多晶矽層120及金屬層114移除。例如,進行N/P光學微影圖案化製程(PMOS N/P圖案化)以分離NMOS區域104及PMOS區域106。光學微影製程可包含旋轉塗佈、軟烘烤、曝光、後烘烤、顯影、潤洗、乾燥及其他合適製程。或者,可由浸潤式微影(immersion lithography)、電子束微影(electron lithography)或其他合適製程來進行N/P圖案化。在PMOS區域中的多晶矽層120可由回蝕刻製程或其他合適製程移除。接著,移除PMOS區域106中的多晶矽層120後,可由灰化製程(ash process)移除或剝除光阻,且可對半導體裝置100進行高分子浸泡(polymer dip)以移除由多晶矽回蝕刻製程及灰化製程留下的殘餘物。於PMOS區域106中之金屬層114(例如N型功函數金屬)可由濕蝕刻或乾蝕刻製程移除。
第1C圖中,在NMOS區域104中之多晶矽層120可由蝕刻製程移除。第1D圖中,可形成金屬層以在PMOS區域中提供P型金屬功函數及在NMOS區域104及PMOS區域106中形成完整的閘極電極。例如,金屬層130可包含氮化鎢或氮化鈦,其厚度約為20至150。金屬層130可由物理氣相沉積、化學氣相沉積或其他合適方法形成。金屬層140,例如鈦,可形成於金屬層130上,其厚度約為20至200。金屬層140可由物理氣相沉積、化學氣相沉積或其他合適方法形成。填充金屬層150,例如鋁,可由物理氣相沉積、化學氣相沉積或其他合適方法形成於金屬層140上,其厚度約為1000至8000。可在金屬層130、140、150上進行化學機械研磨製程以平坦化在NMOS區域104及PMOS區域106中的閘極結構。可知的是,半導體裝置100可進行進一步的CMOS製程以形成各種元件,例如接觸點/通孔(contacts/vias)、內連線結構等。
在經過多晶矽回蝕刻製程之後,形成雙金屬閘極(dual metal gates)時會產生許多問題。可以觀察到,在移除PMOS區域106中之金屬層114及NMOS區域104中之多晶矽層120時,由於通常是使用強烈的化學物質來進行移除(這些層已經歷許多熱製程),因此可能會損傷到底下的高介電常數介電層108。此外,當裝置元件(例如閘極長度)逐漸縮小,化學液體流進多晶矽區域就會帶來更糟糕的狀況,且在溝槽中進行多晶矽回蝕刻製程以作N/P圖案化之難度也越來越高。況且,在多晶矽回蝕刻製程後需要形成雙金屬閘極的製程如同上述般複雜。
參見第2圖,其顯示為依照本發明具有雙金屬閘極結構之半導體裝置之製造方法200的流程圖。參見第3A至3D圖,其顯示為依照第2圖方法200所製造半導體裝置300於各種製造階段之剖面圖。第3A至3E圖已作簡化,以概念的方式顯示NMOS裝置及PMOS裝置,使本發明實施例之概念易於明瞭。除了以下所討論的差異,半導體裝置300可近似於第1圖中之半導體裝置100。在此,第1圖及第3圖中近似元件之標號相同以簡化描述。
方法200起始於方塊210,其為提供半導體基材,此半導體基材具有第一主動區域及第二主動區域。如前述,半導體裝置300可包含NMOS裝置302及PMOS裝置304。在第3A圖中,半導體裝置300可包含形成於基材上之界面層(在此未顯示),其可由氧化矽形成。界面層之厚度約為5至15。接著,繼續進行方塊220,其為在基材上形成高介電常數介電層。半導體裝置300可更包含形成於界面層上之高介電常數介電層308。高介電常數介電層308可由原子層沉積法(ALD)或其他合適技術形成,其厚度約為10至30。高介電常數介電層308可包含氧化鉿(HfO2 ),或也可包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLuO或前述之組合。半導體裝置300更可包含蓋層,用以調控金屬層之功函數,以使NMOS裝置302及PMOS裝置304各自具有適當的效能。例如,蓋層312可形成在NMOS裝置302中之高介電常數介電層308上。可形成另一蓋層(在此未顯示)用以調控PMOS裝置中之功函數。
接著,進行方塊230,其為形成具有第一功函數之金屬層於高介電常數介電層上。半導體裝置300可更包含形成於高介電常數介電層308上之金屬層320。金屬層320可具有第一功函數,例如N型金屬功函數(稱為N型金屬)。例如,金屬層320可包含氮化鈦,其厚度約為10至200。金屬層320可由各種沉積技術形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD或濺鍍)、電鍍或其他合適技術。接著,進行方塊240,其為移除第二主動區域中部分的金屬層。在沉積金屬層320之後,可在進行多晶矽-閘極循環(poly-gate loop)之前先進行金屬N/P圖案化。也就是說,在沉積多晶矽及閘極圖案化之前,先部分移除在PMOS區域304中的金屬層以作N/P圖案化。反之,也可在多晶矽-閘極循環(poly-gate loop)之後再進行金屬N/P圖案化,如第1A至1D圖所討論。因此,圖案化光阻層325可形成在NMOS區域302中之金屬層320上。圖案化光阻層325可由光學微影、浸潤式微影或其他前述之合適製程形成。
第3B圖中,將在PMOS區域304中金屬層320未被覆蓋的部分作部分移除,而形成PMOS區域304中的金屬層330。金屬層330可具有較NMOS區域302中之金屬層320薄的厚度。在一實施例中,金屬層330之厚度約為小於20。在其他實施例中,金屬層330之厚度約為30至60。因此,在NMOS區域及PMOS區域中的金屬層厚度不同以提供雙金屬閘極結構的形成(例如N型金屬及P型金屬)。接著,繼續進行方塊250,其為在第一主動區域中之第一金屬層上及在第二主動區域中經部分移除之第一金屬層上形成多晶矽層。在第3C圖中,多晶矽層340可由合適的沉積製程形成於金屬層320、330上。接著,繼續進行方塊260,其為在第一主動區域中形成第一閘極堆疊及在第二主動區域中形成第二閘極堆疊。之後,半導體裝置300可進行CMOS製程以形成閘極堆疊、輕摻雜源極區(LDD)、側壁間隔物、源極/汲極區等,直至沉積層間介電層(ILD)於NMOS區域302及PMOS區域304上。然後,可在層間介電層上進行化學機械研磨製程以暴露出NMOS區域302及PMOS區域304中閘極堆疊之多晶矽層340。
接著,繼續進行方塊270,其為在不經圖案化之情況下,自第一閘極堆疊及第二閘極堆疊移除多晶矽層。在第3D圖中,在未進行金屬N/P圖案化(移除一個區域中的多晶矽層,然後再移除另一個區域中之多晶矽層,如第1圖所示)之情況下,可接著進行回蝕刻製程或其他合適製程以移除NMOS區域302及PMOS區域304中的多晶矽層340。如第3B圖所示,既然已部分移除在PMOS區域304中的多晶矽層340,則不需經N/P圖案化來移除NMOS區域302及PMOS區域304中的多晶矽層340。因此,既然不需要在形成閘極堆疊後再移除N型金屬,也可減小當閘極長度縮小時在多晶矽閘極溝槽中進行圖案化的挑戰。值得注意的是,在多晶矽回蝕刻製程中,既然有金屬層320、330作保護,可降低底下的高介電常數介電層308受到損傷的風險。
接著,繼續進行方塊280,其為在第一主動區域中之第一金屬層上及第二主動區域中之經部分移除之第一金屬層上形成第二金屬層。在第3E圖中,可沉積各種金屬層以在PMOS區域304形成P型金屬,及各自在NMOS區域302及PMOS區域304中形成完整的閘極結構。在PMOS區域中之金屬層330非常薄,以使在PMOS區域中形成P型金屬時,功函數不會受到影響。例如,金屬層130可形成於NMOS區域302中之金屬層320上及PMOS區域304中之金屬層330上。金屬層130可包含氮化鎢、氮化鈦,其厚度約為20至100。金屬層130可由物理氣相沉積、化學氣相沉積或其他合適方法形成。填充金屬層150,例如鋁、銅、鎢或其他合適材料,可由物理氣相沉積、化學氣相沉積、電鍍或其他合適方法形成於金屬層140上,其厚度約為1000至8000。可在金屬層130、140、150上進行化學機械研磨製程以平坦化在NMOS區域104及PMOS區域106中的閘極結構。可知的是,半導體裝置300可進行進一步的化學機械研磨製程以形成各種元件,例如接觸點/通孔(contacts/vias)、內連線金屬層、層間介電層、保護層等。
參見第4圖,其顯示為依照本發明具有雙金屬閘極結構之半導體裝置之另一製造方法400的流程圖。參見第5A至5E圖,其顯示為依照第4圖方法400所製造之半導體裝置500於各種製造階段之剖面圖。第5A至5E圖已作簡化,以概念的方式顯示NMOS裝置及PMOS裝置,使本發明實施例之概念易於明瞭。除了以下所討論的差異,半導體裝置500可近似於第3圖中之半導體裝置300。在此,第3圖及第5圖中近似元件之標號相同以簡化描述。方法400起始於方塊410,其為提供具有第一主動區域及第二主動區域之半導體基材。如前述,半導體裝置500可包含NMOS裝置502及PMOS裝置504。半導體裝置500可包含形成於基材上的界面層(在此未顯示),其由氧化矽所形成。接著,進行方塊420,其為形成高介電常數介電層於基材上。半導體裝置500可更包含形成於界面層上之高介電常數介電層308。高介電常數介電層308可由原子層沉積法(ALD)或其他合適技術形成,其厚度約為10至30。高介電常數介電層308可包含氧化鉿(HfO2 ),或也可包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLuO或前述之組合。半導體裝置500更可包含蓋層,用以調控金屬層之功函數,以使NMOS裝置502及PMOS裝置504各自具有適當的效能。例如,蓋層312,例如氧化鑭,可形成在NMOS裝置302中之高介電常數介電層308上,以調控NMOS裝置502之功函數。可形成另一蓋層(在此未顯示),例如氧化鋁(Al2 O3 ),以調控PMOS裝置之功函數。
接著,繼續進行方塊430,其為形成第一金屬層於高介電常數介電層上,此第一金屬層具有第一功函數。半導體裝置500可更包含形成於高介電常數介電層308上之金屬層320。金屬層320可具有第一功函數,例如N型金屬函數(稱為N型金屬)。例如,金屬層320可包含氮化鈦,其厚度約為10至200。金屬層320可由各種沉積技術形成,例如化學氣相沉積、物理氣相沉積(PVD或濺鍍)、電鍍或其他合適技術。接著,繼續進行方塊440,其為移除第二主動區域中的第一金屬層。在沉積金屬層320之後,可在進行多晶矽-閘極循環(poly-gate loop)之前先進行金屬N/P圖案化。也就是說,在沉積多晶矽及閘極圖案化之前,先完全移除在PMOS區域504中的金屬層320及蓋層512。反之,也可在多晶矽-閘極循環(poly-gate loop)之後再進行金屬N/P圖案化,如第1A至1D圖所討論。因此,圖案化光阻層525可形成在NMOS區域502中之金屬層320上。圖案化光阻層525可由光學微影、浸潤式微影或其他前述之合適製程形成。
第5B圖中,將在PMOS區域504中金屬層320及蓋層512未被覆蓋的部分完全移除。然後,可由合適製程將圖案化光阻層525移除。接著,繼續進行方塊450,其為將多晶矽層形成於第一主動區域中之第一金屬層上及形成於第二主動區域中之高介電常數介電層上。在第5C圖中,可由物理氣相沉積(PVD)或其他合適製程在NMOS區域502及PMOS區域504中形成金屬層530。金屬層530之厚度約為小於20,因此不會影響到NMOS區域502中金屬層320的功函數。因此,金屬層530可包含與金屬層320不同的材料。在一實施例中,金屬層530可包含P型金屬,例如氮化鎢。或者,金屬層530可包含與金屬層320相同的金屬,例如氮化鈦。因此,NMOS區域502及PMOS區域504中的金屬層具有不同厚度以提供雙金屬閘極結構(例如N型金屬及P型金屬)的形成。
接著,繼續進行方塊460,其為在第二金屬層上形成多晶矽層。在第5D圖中,可由合適製程在NMOS區域502中之金屬層320、530上及PMOS區域504中之金屬層530上形成多晶矽層340。之後,半導體裝置500可進行如第3C至3E圖所述之相似製程以在NMOS區域502及PMOS區域504中形成完整的金屬閘極結構(例如N型金屬及P型金屬)。接著,繼續進行方塊470,其為在第一主動區域中形成第一閘極堆疊及在第二主動區域中形成第二閘極堆疊。接著,繼續進行方塊480,其為自第一閘極堆疊及第二閘極堆疊中移除多晶矽層。
接著,繼續進行方塊490,其為在第一主動區域及第二主動區域中之第二金屬層上形成第三金屬層,此第三金屬層具有第二功函數。在第5E圖中,可沉積各種金屬層以在PMOS區域504中形成P型金屬,且完成NMOS區域502及PMOS區域504各自的閘極結構。例如,可在NMOS區域502及PMOS區域504中之金屬層530上形成金屬層130。金屬層130可包含氮化鎢或氮化鈦,其厚度約為20至100。金屬層130可由物理氣相沉積(PVD)、化學氣相沉積(CVD)或其他合適方法形成。阻障金屬層(barrier metal layer)140,例如鈦,可形成於金屬層130上,其厚度約為20至200。金屬層140可由物理氣相沉積、化學氣相沉積或其他合適方法形成。填充金屬層(filler metal layer)150,例如鋁、銅、鎢或其他合適材料,可由物理氣相沉積、化學氣相沉積、電鍍或其他合適方法形成於金屬層140上,其厚度約為1000至8000。可在金屬層130、140、150上進行化學機械研磨(CMP)製程以平坦化在NMOS區域104及PMOS區域106中的閘極結構。可知的是,半導體裝置100可進行進一步的CMOS製程以形成各種元件,例如接觸點/通孔(contacts/vias)、內連線金屬層、層間介電層、保護層等。值得注意的是,如第3圖所述之優點也可應用於第5圖所述之實施例中。
參見第6圖,其顯示為依照本發明具有雙金屬閘極結構之半導體裝置之又一製造方法600的流程圖。參見第7A至7H圖,其顯示為依照第6圖方法600所製造半導體裝置700於各種製造階段之剖面圖。第7A至7H圖已作簡化,以概念的方式顯示NMOS裝置及PMOS裝置,使本發明實施例之概念易於明瞭。
方法600起始於方塊610,其為提供具有第一主動區域及第二主動區域之半導體基材。在第7A圖中,半導體裝置700可包含半導體基材702,例如矽基材。或者,基材702可包含鍺化矽、砷化鎵或其他合適半導體材料。基材702更可包含其他元件,例如各種摻雜區域、深埋層(buried layer)及/或磊晶層(epi layer)。此外,基材702可為絕緣層上覆半導體,例如絕緣層上覆矽(SOI)。在其他實施例中,半導體基材702可包含摻雜的磊晶層、梯度半導體層及/或可更包含半導體層上覆有另外一種型態的半導體層,例如鍺化矽層上覆矽層。在其他實施例中,半導體基材可包含多層矽結構,或矽基材可包含多層化合物半導體結構。
半導體裝置700可進一步包含隔離結構704,例如形成在基材702中的淺溝槽隔離(STI),用以隔離基材中的主動區域706及708。隔離結構可由氧化矽、氮化矽、氮氧化矽、氟摻雜玻璃(FSG)及或習知的低介電常數介電材料形成。主動區104的可作為N型金氧半導體電晶體裝置(稱為NMOS)及主動區106可作為P型金氧半導體電晶體裝置(稱為PMOS)。
半導體裝置700可更包含在形成形成於基材702上之界面層710。界面層可包含氧化矽層,其厚度約為5至15。接著,繼續進行方塊620,其為於基材上形成高介電常數介電層。半導體裝置700更可包含形成於界面層710上之高介電常數介電層712。高介電常數介電層712可由原子層沉積法(ALD)或其他合適技術形成。高介電常數介電層708之厚度約為10至30。高介電常數介電層108可包含氧化鉿(HfO2 ),或也可包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLuO或前述之組合。
半導體裝置100可更包含蓋層,用以調控金屬層的功函數(對於閘極電極),以使PMOS裝置及NMOS裝置各自具有適當的效能。例如,由氧化鋁(Al2 O3 )形成之蓋層716可形成於PMOS區域708中,其厚度約為3至20。由氧化鑭(LaOx )形成之蓋層112可形成於NMOS區域706中,其厚度約為3至20
接著,繼續進行方塊630,其為在高介電常數介電層上形成第一金屬層,此第一金屬層具有第一功函數。在第7B圖中,半導體裝置700可更包含於高介電常數介電層712上形成P型金屬層720。P型金屬層720之厚度約為小於20,以使P型金屬層720不會影響之後於NMOS區域706中形成之N型金屬層的功函數。P型金屬層720可包含各種金屬,例如氮化鉭。接著,繼續進行方塊640,其為在第一金屬層上形成第二金屬層,此第二金屬層具有第二功函數。半導體裝置700可更包含形成於P型金屬層720上之N型金屬層730。例如,N型金屬層730可包含氮化鈦,其厚度約為10至200。N型金屬層730可由各種沉積技術形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD或濺鍍)、電鍍或其他合適技術。
接著,繼續進行方塊650,其為在第二金屬層上形成多晶矽層。在第7C圖中,半導體裝置700可更包含由各種合適沉積製程於N型金屬層730上形成多晶矽層740。多晶矽層740之厚度約為400至800。半導體裝置700可更包含硬罩幕層750,例如氮化矽或氮氧化矽,其為形成於多晶矽層740上。硬罩幕層750之厚度約為100至400。此外,半導體裝置700可包含抗反射塗佈層(antireflective coating layer)或底部抗反射塗佈層(bottom antireflective coating layer;BARC)以使用於圖案化光阻層使光學微影製程效果更佳。例如,可在硬罩幕層750上形成圖案化光阻層761,該圖案化光阻層761包含在NMOS區域706上的圖案761及在PMOS區域708上的圖案762。可使用濕蝕刻或乾蝕刻製程配合圖案761、762及來圖案化硬罩幕層750。
接著,繼續進行方塊660,其為在第一主動區域中形成第一閘極堆疊及在第二主動區域中形成第二閘極堆疊。在第7D圖中,可使用圖案化之罩幕層來形成NMOS區域706中之閘極堆疊771及PMOS區域中708中之閘極堆疊772。閘極堆疊771可包含界面層710n、高介電常數介電層712n、蓋層714n、薄P型金屬層720n、N型金屬層730n及多晶矽層740n。閘極堆疊772可包含界面層710p、蓋層716p、高介電常數介電層712p、薄P型金屬層720p、N型金屬層730p及多晶矽層740p。可知的是,半導體裝置700可繼續進行CMOS之製造流程,以形成各種元件,例如輕摻雜源極/汲極區(LDD)、閘極堆疊上之側壁間隔物、源極/汲極區等,直至沉積層間介電層(ILD)。之後,可在後閘極製程中進行化學機械研磨製程,以暴露出閘極堆疊771、772各自的多晶矽層740n、740p。
值得注意的是,第7E至7H圖為更詳細地以概念的方式顯示NMOS區域706及PMOS區域708中的閘極堆疊771、772。接著,繼續進行方塊670,其為自第二閘極堆疊移除多晶矽層及第二金屬層。在第7E圖中,可進行N/P圖案化製程以移除在PMOS區域708中的多晶矽層740p及N型金屬層730p。例如,可進行N/P光學微影圖案化製程(PMOS N/P圖案化)來分離NMOS區域706及PMOS區域708。光學微影製程可包含旋轉塗佈、軟烘烤、曝光、後烘烤、顯影、潤洗、乾燥及其他合適製程。或者,可由浸潤式微影製程、電子束微影製程(electron-beam lithography)或其他合適製程來進行N/P圖案化。在PMOS區域708中的多晶矽層可由回蝕刻製程或其他合適製程移除。在移除PMOS區域708中的多晶矽層740p之後,接著可以灰化製程(ash process)移除或剝離光阻(在此未顯示),並進行高分子浸泡(polymer dip)以移除由多晶矽回蝕刻製程及灰化製程所留下之殘餘物。
在第7F圖中,可由濕蝕刻或乾蝕刻製程將PMOS區域708中之N型金屬層730p移除。值得注意的是,PMOS區域708中之薄金屬層720p可在移除N型金屬層730p的過程中作為保護層,以保護底下的高介電常數介電層712p。並且,薄金屬層720p可作為移除N型金屬層730p之蝕刻製程中的蝕刻停止層。接著,繼續進行方塊680,其為自第一閘極堆疊中移除多晶矽層。在第7G圖中,可由濕蝕刻或乾蝕刻製程將NMOS區域706中之多晶矽層740n移除。值得注意的是,在PMOS區域708中之薄金屬層720p可在移除多晶矽層740n時作為保護層,以保護底下的高介電常數介電層712p。
接著,繼續進行方塊690,其為在第一閘極堆疊中之第二金屬層上及在第二閘極堆疊中之第一金屬層上形成第三金屬層。在第7H圖中,可在溝槽(溝槽是由移除閘極堆疊771中多晶矽層及移除閘極堆疊772中之多晶矽層及N型金屬層所形成)中沉積各種金屬層以在PMOS區域708中形成P型金屬層,並在NMOS區域706及PMOS區域708中各自形成完整的閘極結構。例如,可在NMOS區域706中之N型金屬層730n及在PMOS區域中P型金屬層720p上形成金屬層780。金屬層780可包含氮化鎢或氮化鈦,其厚度約為20至100。金屬層780可由化學氣相沉積(CVD)、物理氣相沉積(PVD)或其他合適方法形成。金屬層782(例如鈦)可形成在金屬層780上。金屬層782之厚度約為20至200,其可由化學氣相沉積、物理氣相沉積或其他合適方法形成。填充金屬層784,例如鋁、銅、鎢,可由化學氣相沉積、物理氣相沉積、電鍍或其他合適方法形成於金屬層782上。金屬層784之厚度約為1000至8000。可在金屬層780、782、784上進行化學機械研磨製程以平坦化NMOS區域706及PMOS區域708中各自的閘極結構791、792。可知道的是,半導體裝置700可進行進一步的CMOS製程以形成各種元件,例如接觸點/通孔(contacts/vias)、內連線金屬層、保護層等。
本發明在此提供各種實施例,並且具有不同的優點。例如,本發明提供了簡單又具有經濟效益的方法來整合高介電常數/金屬閘極結構至CMOS製程中。在某些實施例中,可在沉積多晶矽層之前先進行N/P圖案化,以對NMOS裝置及PMOS裝置的雙金屬閘極結構(例如N金屬及P型金屬)提供不同的厚度。因此,降低了在蝕刻及沉積製程中損害底下之高介電常數介電層的風險,且在回蝕刻製程及移除金屬時不需做N/P圖案化。在其他實施例中,可提供包含薄P型金屬層及N型金屬層之雙層金屬閘極。薄P型金屬層之厚度約小於20,以使P型金屬不會影響NMOS裝置所使用之N型金屬層之功函數。因此,混成製程可使用在形成有NMOS裝置及PMOS裝置的雙閘極結構。混成製程可包含在前閘極製程中形成金屬閘極(例如N型金屬或P型金屬)及在後閘極製程中形成另一個金屬閘極(例如P型金屬或N型金屬)。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...半導體裝置
102...基材
104...NMOS裝置
106...PMOS裝置
108...高介電常數介電層
110...蓋層
112...蓋層
114...金屬層
120...多晶矽層
130...金屬層
140...阻障金屬層
150...填充金屬層
300...半導體裝置
302...NMOS裝置
304...PMOS裝置
308...高介電常數介電層
312...蓋層
320...金屬層
325...圖案化光阻層
330...經部分移除之金屬層
340...多晶矽層
500...半導體裝置
502...NMOS裝置
504...PMOS裝置
512...蓋層
525...圖案化光阻層
530...金屬層
700...半導體裝置
702...基材
704...淺溝槽隔離
706...NMOS裝置
708...PMOS裝置
710...界面層
712...高介電常數介電層
714...蓋層
716...蓋層
720...薄P型金屬層
730...N型金屬層
740...多晶矽層
750...硬罩幕層
761...第一閘極堆疊
762...第二閘極堆疊
710n...NMOS裝置中之界面層
712n...NMOS裝置中之高介電常數介電層
714n...NMOS裝置中之蓋層
720n...NMOS裝置中之薄P型金屬層
730n...NMOS裝置中N型金屬層
740n...NMOS裝置中之多晶矽層
710p...PMOS裝置中之界面層
716p...PMOS裝置中之蓋層
712p...PMOS裝置中之高介電常數介電層
720p...PMOS裝置中之薄P型金屬層
730p...PMOS裝置中N型金屬層
740p...PMOS裝置中之多晶矽層
780...金屬層
782...金屬層
784...填充金屬層
791...閘極結構
792...閘極結構
第1A~1D圖為包含前閘極及後閘極之混成製程中於各種製造階段之半導體裝置剖面圖。
第2圖為依照本發明一方法製造半導體裝置之流程圖。
第3A~3E圖為依照第2圖之方法製造半導體裝置之製程剖面圖。
第4圖為依照本發明另一方法製造半導體裝置之流程圖。
第5A至5E圖為依照第4圖之方法製造半導體裝置之製程剖面圖。
第6圖為依照本發明又一方法製造半導體裝置之流程圖。
第7A至7H圖為依照第6圖之方法製造半導體裝置之製程剖面圖。
700...半導體裝置
702...基材
704...淺溝槽隔離
706...NMOS裝置
708...PMOS裝置
780...金屬層
782...金屬層
784...填充金屬層
791...閘極結構
792...閘極結構

Claims (20)

  1. 一種半導體裝置之製造方法,包括:提供一半導體基材,具有一第一區域及一第二區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬層具有一第一功函數;移除該第二區域中部分的第一金屬層;之後,形成一半導體層,位於該第一區域中之該第一金屬層上及該第二區域中該經部分移除的第一金屬層上;形成一第一閘極堆疊於該第一區域中及形成一第二閘極堆疊於該第二區域中;由該第一閘極堆疊及該第二閘極堆疊中移除該半導體層而形成一第一溝槽及一第二溝槽;以及形成一第二金屬層於該第一溝槽中之第一金屬層上及該第二溝槽中經部分移除的第一金屬層上,該第二金屬層具有一第二功函數。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一功函數包含一N型金屬功函數且該第二功函數包含P型金屬功函數。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包含:形成一界面層於該半導體基材及該高介電常數介電層之間;形成一第一蓋層於該高介電常數介電層及該第一區 域中之該第一金屬層之間;以及形成一第二蓋層於該界面層及該第二區域中之該高介電常數介電層之間。
  4. 如申請專利範圍第3項所述之半導體裝置之製造方法,其中該界面層包含氧化矽,該高介電常數介電層包含氧化鉿(HfO2 ),該第一蓋層包含氧化鑭及該第二蓋層包含氧化鋁。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包含:形成一第一阻障金屬層於該第一及第二溝槽中之該第二金屬層上;形成一填充金屬層於第一及第二溝槽之該阻障金屬層上;以及之後,進行一化學機械研磨(CMP)製程以平坦化及移除在該第一閘極堆疊之該第一溝槽及該第二閘極堆疊之該第二溝槽以外之各種膜層。
  6. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該半導體層包含多晶矽層,且其中移除該半導體層是在沒有以圖案化分離該第一閘極堆疊及該第二閘極堆疊之情況下進行。
  7. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第二區域中該經部分移除的第一金屬層之厚度約為30至60 Å。
  8. 一種半導體裝置之製造方法,包括:提供一半導體基材,具有一第一主動區域及一第二 主動區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬具有一第一功函數;移除該第二主動區域中之該第一金屬層;形成一第二金屬層於該第一主動區域之該第一金屬層上及該第二主動區域之該高介電常數介電層上;之後,形成一矽層於該第二金屬層上;形成一第一閘極堆疊於該第一主動區域中及形成一第二閘極堆疊於該第二主動區域中,該第一閘極堆疊包含高介電常數介電層、該第一金屬層、該第二金屬層及該矽層,該第二閘極堆疊包含該高介電常數介電層、第二金屬層及該矽層;由該第一閘極堆疊及該第二閘極堆疊移除該矽層而形成一第一溝槽及一第二溝槽;以及形成一第三金屬層於該第一溝槽及該第二溝槽中之該第二金屬層上,該第三金屬層具有一第二功函數。
  9. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中該第一功函數包含N型金屬功函數且該第二功函數包含P型金屬功函數。
  10. 如申請專利範圍第8項所述之半導體裝置之製造方法,更包含:形成一界面層於該半導體基材與該高介電常數介電層之間;形成一第一蓋層於該高介電常數介電層及該第一主 動區域中之該第一金層之間;以及形成一第二蓋層於該界面層及該第一主動區域中之該高介電常數介電層之間;其中該第一閘極堆疊更包含該第一蓋層;其中該第二閘極堆疊更包含該第二蓋層。
  11. 如申請專利範圍第8項所述之半導體裝置之製造方法,更包含:形成一阻障金屬層於該第三金屬層上以部分填滿該第一及第二溝槽;形一填充金屬層於該阻障層上以實質上填滿該第一及第二溝槽的剩餘部分;以及之後,進行一化學機械研磨(CMP)製程以平坦化及移除在該第一閘極堆疊之該第一溝槽及該第二閘極堆疊之該第二溝槽以外之各種膜層。
  12. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中移除該矽層是在沒有以圖案化分離該第一閘極堆疊及該第二閘極堆疊之情況下進行。
  13. 如申請專利範圍第8項所述之半導體裝置之製造方法,其中該第二金屬層之厚度約為小於20 Å。
  14. 一種半導體裝置之製造方法,包括:提供一半導體基材,具有一第一區域及一第二區域;形成一高介電常數介電層於該半導體基材上;形成一第一金屬層於該高介電常數介電層上,該第一金屬具有一第一功函數;形成一第二金屬層於該第一金屬層上,該第二金屬 具有一第二功函數;形成一矽層於該第二金屬層上;形成一第一閘極堆疊於該第一區域中及形成一第二閘極堆疊於該第二區域中,該第一及第二閘極堆疊皆包含該高介電常數介電層、該第一金屬層、該第二金屬層及該矽層;形成一層間介電層(ILD)於該第一及第二閘極堆疊上;在該層間介電層上進行一化學機械研磨(CMP)製程以暴露出該第一及第二閘極堆疊各自之該矽層;從該第二閘極堆疊移除該矽層及該第二金屬層而形成一第二溝槽;之後,從該第一閘極堆疊移除該矽層而形成一第一溝槽;以及形成一第三金屬層於該第二金屬層上以部分填滿該第一溝槽,及形成於該第一金屬層上以部分填滿該第二溝槽,該第三金屬層具有該第一功函數。
  15. 如申請專利範圍第14項所述之半導體裝置之製造方法,其中該第一功函數包含N型金屬功函數且該第二功函數包含P型金屬功函數。
  16. 如申請專利範圍第14項所述之半導體裝置之製造方法,其中該第一金屬層之厚度約為小於20 Å。
  17. 如申請專利範圍第14項所述之半導體裝置之製造方法,更包含:形成一界面層於該半導體基材與該高介電常數介電 層之間;形成一第一蓋層於該高介電常數介電層及該第一區域中之該第一金屬層之間;以及形成一第二蓋層於該界面層與該第二區域中之該高介電常數介電層之間;其中該第一閘極堆疊更包含該第一蓋層;其中該第二閘極堆疊更包含該第二蓋層。
  18. 如申請專利範圍第17項所述之半導體裝置之製造方法,其中該第一蓋層包含氧化鑭及該第二蓋層包含氧化鋁。
  19. 如申請專利範圍第14項所述之半導體裝置之製造方法,更包含:形成一阻障金屬層於該第三金屬層上,以部分填滿該第一及第二溝槽;形成一填充金屬層於該阻障金屬層上以實質上填滿該剩餘的第一及第二溝槽;以及之後,進行一第二化學機械研磨(CMP)製程,以平坦化及移除在該第一閘極堆疊之該第一溝槽以外及在該第二閘極堆疊之該第二堆疊以外的各種膜層。
  20. 如申請專利範圍第14項所述之半導體裝置之製造方法,其中該高介電常數介電層包含HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、HfLaO、HfLuO或前述之組合。
TW098127686A 2008-08-18 2009-08-18 半導體裝置之製造方法 TWI393218B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8972108P 2008-08-18 2008-08-18
US11122008P 2008-11-04 2008-11-04
US12/478,509 US8003507B2 (en) 2008-08-18 2009-06-04 Method of integrating high-K/metal gate in CMOS process flow

Publications (2)

Publication Number Publication Date
TW201013849A TW201013849A (en) 2010-04-01
TWI393218B true TWI393218B (zh) 2013-04-11

Family

ID=41681548

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098127686A TWI393218B (zh) 2008-08-18 2009-08-18 半導體裝置之製造方法

Country Status (3)

Country Link
US (5) US8003507B2 (zh)
CN (1) CN101789397B (zh)
TW (1) TWI393218B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947549B2 (en) * 2008-02-26 2011-05-24 International Business Machines Corporation Gate effective-workfunction modification for CMOS
US8232154B2 (en) * 2009-09-21 2012-07-31 United Microelectronics Corp. Method for fabricating semiconductor device
US8617946B2 (en) * 2009-11-11 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal gates and fabrication methods thereof
US8304842B2 (en) * 2010-07-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure for N/P metal gates
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
CN102446725A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 一种层叠栅极制作方法
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US8587074B2 (en) * 2011-05-05 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Device having a gate stack
US8580641B2 (en) * 2011-07-26 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing high-k dielectric metal gate CMOS
US9595443B2 (en) 2011-10-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US9177870B2 (en) * 2011-12-16 2015-11-03 Taiwan Semiconductor Manufacturing Company Ltd. Enhanced gate replacement process for high-K metal gate technology
US8772114B2 (en) 2012-03-30 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate semiconductor device and method of fabricating thereof
JP6043573B2 (ja) * 2012-10-05 2016-12-14 矢崎総業株式会社 ファンモータ制御装置
US8796751B2 (en) * 2012-11-20 2014-08-05 Micron Technology, Inc. Transistors, memory cells and semiconductor constructions
KR102089682B1 (ko) 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
CN104779205B (zh) * 2014-01-15 2018-06-26 南方科技大学 一种集成不同厚度金属层以调节功函数的方法
KR102231205B1 (ko) 2014-11-19 2021-03-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9449887B2 (en) 2014-12-08 2016-09-20 Globalfoundries Inc. Method of forming replacement gate PFET having TiALCO layer for improved NBTI performance
US9496183B1 (en) * 2015-05-07 2016-11-15 International Business Machines Corporation Selective thickening of pFET dielectric
CN106601605B (zh) 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 栅极堆叠结构、nmos器件、半导体装置及其制造方法
US9837487B2 (en) 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US10068904B2 (en) 2016-02-05 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device
CN108695321B (zh) * 2017-04-07 2021-09-03 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102271008B1 (ko) * 2017-10-27 2021-06-29 삼성전자주식회사 반도체 장치
KR102417179B1 (ko) * 2017-12-19 2022-07-05 삼성전자주식회사 다치형 문턱 전압을 갖는 반도체 소자
US10497571B2 (en) 2018-04-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Device having work function metal stack and method of forming the same
TWI782109B (zh) * 2018-10-03 2022-11-01 聯華電子股份有限公司 製作半導體元件的方法
EP3660924A1 (en) * 2018-11-30 2020-06-03 IMEC vzw A pmos low thermal-budget gate stack
US11189616B2 (en) 2019-09-17 2021-11-30 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices
CN112635401A (zh) * 2019-09-24 2021-04-09 长鑫存储技术有限公司 晶体管的形成方法
CN113140624A (zh) * 2021-03-29 2021-07-20 上海华力集成电路制造有限公司 集成高k金属栅极和氧化物多晶硅栅极的结构和制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US20070048920A1 (en) * 2005-08-25 2007-03-01 Sematech Methods for dual metal gate CMOS integration

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410376B1 (en) * 2001-03-02 2002-06-25 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal CMOS transistors for sub-0.1 μm ULSI integration
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US6974764B2 (en) * 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
US7514310B2 (en) * 2004-12-01 2009-04-07 Samsung Electronics Co., Ltd. Dual work function metal gate structure and related method of manufacture
US7531404B2 (en) * 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US7432567B2 (en) * 2005-12-28 2008-10-07 International Business Machines Corporation Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US20070048920A1 (en) * 2005-08-25 2007-03-01 Sematech Methods for dual metal gate CMOS integration

Also Published As

Publication number Publication date
US20160293490A1 (en) 2016-10-06
US20150061031A1 (en) 2015-03-05
US20110275212A1 (en) 2011-11-10
US8383502B2 (en) 2013-02-26
US9601388B2 (en) 2017-03-21
US8003507B2 (en) 2011-08-23
CN101789397B (zh) 2012-05-30
US20130140643A1 (en) 2013-06-06
US8841731B2 (en) 2014-09-23
CN101789397A (zh) 2010-07-28
TW201013849A (en) 2010-04-01
US20100041223A1 (en) 2010-02-18
US9257426B2 (en) 2016-02-09

Similar Documents

Publication Publication Date Title
TWI393218B (zh) 半導體裝置之製造方法
TWI395296B (zh) 半導體裝置之製造方法
US9263445B2 (en) Method of fabricating dual high-k metal gates for MOS devices
US8349680B2 (en) High-k metal gate CMOS patterning method
KR101639486B1 (ko) 다양한 폭을 갖는 게이트 구조를 포함하는 반도체 디바이스 구조물 및 그 형성 방법
US9431505B2 (en) Method of making a gate structure
TWI393220B (zh) 半導體元件之製法
TWI462187B (zh) 半導體元件及其製造方法
US8357603B2 (en) Metal gate fill and method of making
TWI600064B (zh) 半導體元件及其製作方法、以及半導體結構
US9105692B2 (en) Method of fabricating an interconnection structure in a CMOS comprising a step of forming a dummy electrode
TWI429025B (zh) 製造半導體元件的方法與半導體元件
US8835294B2 (en) Method for improving thermal stability of metal gate
US10276447B2 (en) Semiconductor structures and methods of forming the same
US9941152B2 (en) Mechanism for forming metal gate structure
US20170194443A1 (en) Loading effect reduction through multiple coat-etch processes
TW201318068A (zh) 具有金屬閘極之半導體元件及其製作方法