TWI414041B - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
TWI414041B
TWI414041B TW096111502A TW96111502A TWI414041B TW I414041 B TWI414041 B TW I414041B TW 096111502 A TW096111502 A TW 096111502A TW 96111502 A TW96111502 A TW 96111502A TW I414041 B TWI414041 B TW I414041B
Authority
TW
Taiwan
Prior art keywords
film
semiconductor wafer
chamber
wafer
temperature
Prior art date
Application number
TW096111502A
Other languages
English (en)
Other versions
TW200810014A (en
Inventor
Tobimatsu Hiroshi
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Publication of TW200810014A publication Critical patent/TW200810014A/zh
Application granted granted Critical
Publication of TWI414041B publication Critical patent/TWI414041B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

半導體裝置之製造方法
本發明關於半導體裝置之製造技術,特別關於有效地適用於如下半導體裝置之製造步驟之技術,於上述半導體裝置中,金屬膜埋入到於絕緣膜上開口之連接孔之內部。
於日本專利公開2003-324108號公報(對應美國之USP 6864183)中揭示了如下方法,即,使用氟化氣體與氬氣之混合氣體進行電漿蝕刻,藉此去除存在於矽基板以及閘電極之表面上之自然氧化膜,上述氟化氣體係選自由三氟化氮氣體、氟化氫氣體、六氟化二碳氣體、四氟化碳氣體以及六氟化硫氣體構成之群之至少1種以上之氟化氣體(參照專利文獻1)。
此外,於日本專利公開2-256235號公報(對應美國之USP 5030319)中揭示了如下方法,即,利用包含鹵素之氣體與鹼性氣體來生成鹵素鹽,使上述鹵素鹽與被處理體之氧化膜反應,或者使鹵素鹽氣體直接與氧化膜反應,從而選擇性地對氧化膜進行蝕刻,並去除此氧化膜,而不損傷底層(參照專利文獻2)。
此外,於日本專利公開3-116727號公報中揭示了如下方法,即,使於表面上形成了氧化膜之半導體基板暴露於包含氟原子之氣體中之後,於還原性氣體、惰性氣體、或者真空中進行退火,藉此去除上述氧化膜(參照專利文獻3)。
[專利文獻1]日本專利特開2003-324108號公報[專利文獻2]日本專利特開平2-256235號公報[專利文獻3]日本專利特開平3-116727號公報
隨著半導體裝置不斷高集成化,場效應電晶體應定標定律而微細化,為了連接閘極或源極、汲極與配線,要求形成於層間絕緣膜上之連接孔之口徑為0.1 μm以下。然而,容易於露出於連接孔底部之導電材料之表面(例如構成閘極之導電膜、構成源極、汲極之半導體區域、或者形成於上述導電膜或半導體區域上之矽化物層等之表面)上形成自然氧化膜,當將金屬膜埋入到上述連接孔內部時,為了獲得良好之傳導性,必須去除自然氧化膜。尤其於口徑為0.1 μm以下之細微之連接孔上,難以去除連接孔底部之自然氧化膜,因此目前業者已提出了各種洗淨方法或處理裝置等。
然而,形成於連接孔底部之自然氧化膜之厚度存在不均。因此,對於本發明人而言,作為可完全去除連接孔底部之自然氧化膜或雜質而不會過分蝕刻之方法,係於形成連接孔之後,例如使用HF氣體與NH3 氣體或者NF3 氣體與NH3 氣體等還原氣體進行乾式洗淨處理,或者使用包含NF3 氣體與NH3 氣體或者NF3 氣體與H2 氣體等還原氣體之Ar氣體之反應性電漿進行乾式洗淨處理。
然而,對於上述乾式洗淨處理而言,存在以下說明之各種技術性問題。
於經乾式洗淨處理後之連接孔底部以及側面上,將生成矽氟酸銨((NH4 )2 SiF6 )。於連接孔之內部,一般隔著障壁金屬膜(例如於鈦膜上堆積氮化鈦膜而成之積層膜)而埋入著作為主導電材料之金屬膜,但如果殘留著上述生成物,則例如將產生如下問題,即,於連接孔之底面上,障壁金屬膜與障壁金屬膜下方之導電材料之接觸電阻變得不均,於連接孔之側面上障壁金屬膜剝落。
因此,本發明人研究了如下內容:以上述生成物之昇華溫度、即100℃左右對經乾式洗淨處理之連接孔部分進行加熱,藉此自連接孔之底面以及側面去除生成物。然而,顯而易見的是,即使以100℃左右之溫度對連接孔部分進行加熱,也無法使生成物完全昇華,從而無法避免上述問題。本發明人認為上述情況之原因在於,生成於連接孔之底面以及側面上之生成物之組成並非完全為(NH4 )2 SiF6 ,也包含與(NH4 )2 SiF6 稍不相同之組成(非化學計量之組成之化合物,對於這些非化學計量之組成之化合物而言,於不會引起混淆時,簡便起見,由矽氟酸銨或((NH4 )2 SiF6 )表示),此組成稍不相同之生成物於100℃左右之溫度下不會昇華,而會殘留於連接孔之底面以及側面上。
本申請案之一個發明目的在於提供如下之技術,此技術可通過減小連接孔部分之電氣特性之不均來提高半導體裝置之可靠性以及製造良率。
本申請案之上述一個發明之上述目的及其他目的、以及其他發明之目的及新穎特徵,可根據本說明書之記述以及附圖而變得明確。
如果對本申請案所揭示之發明中之代表性內容之概要加以簡單說明,則如下所述。
本發明之半導體裝置之製造方法,於障壁金屬膜形成在於絕緣膜上開口之連接孔之內部之前,包含:將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;經由設置於晶圓載置台上方之噴頭供給還原氣體,對連接孔內部進行乾式洗淨處理之步驟;以利用噴頭之加熱溫度之100至150℃之第1溫度對半導體晶圓進行熱處理之步驟;將半導體晶圓自第1腔室搬運至第2腔室之步驟;於第2腔室中,以150至400℃之第2溫度對半導體晶圓進行熱處理之步驟。
本發明之半導體裝置之製造方法,於障壁金屬膜形成在於絕緣膜上開口之連接孔內部之前,包含:將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;經由設置於晶圓載置台上方之噴頭供給還原氣體,對連接孔內部進行乾式洗淨處理之步驟;以及以利用噴頭之加熱溫度之180至220℃之第1溫度對半導體晶圓進行熱處理之步驟。
本發明之半導體裝置之製造方法,於障壁金屬膜形成在於絕緣膜上開口之連接孔內部之前,包含:將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;經由設置於晶圓載置台上方之噴頭供給還原氣體,對連接孔內部進行乾式洗淨處理而不對半導體晶圓之側面以及背面進行乾式洗淨處理之步驟;將半導體晶圓自第1腔室搬運至第2腔室之步驟;於第2腔室中,以150至400℃之第2溫度對半導體晶圓進行熱處理之步驟。
將除了本申請案所揭示之上述內容以外之(包含類似發明)發明之概要分項而簡潔地表示。1.一種半導體裝置之製造方法,隔著障壁金屬膜,將金屬膜埋入於絕緣膜上開口之連接孔內部,且於上述絕緣膜形成上述連接孔之後,於將上述障壁金屬膜沈積至上述連接孔內部之前,包含以下步驟:(a)將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;(b)經由設置於上述晶圓載置台上方之噴頭供給還原氣體,對上述連接孔內部進行乾式洗淨處理之步驟;(c)以利用上述噴頭之加熱溫度(噴頭之輻射等)之第1溫度對上述半導體晶圓進行第1熱處理之步驟;(d)將上述半導體晶圓自上述第1腔室搬運至第2腔室之步驟;(e)於上述第2腔室中,以高於上述第1溫度之第2溫度對上述半導體晶圓進行第2熱處理之步驟。
再者,本申請案中,主要對形成障壁金屬之前之連接孔內之洗淨製程加以了說明,當然,本項1、8、或者11之各分段之製程可用作其他晶圓處理之前處理(乾式洗淨)。
即,作為熱處理、或者形成各種膜等之晶圓處理前之洗淨處理(例如將矽表面之自然氧化膜轉換成於較低溫度(例如攝氏400度以下)下會昇華、蒸發或者汽化之物質之處理),實施乾式洗淨處理時,同樣於乾式洗淨室中,利用洗淨機構之一部分或者全部,以第1溫度(晶圓上表面溫度)對乾式洗淨後生成之沈積、凝聚或凝結於晶圓表面(包括上表面、側面、背面)上之洗淨殘渣(包括洗淨處理生成物)進行熱處理,藉此使上述洗淨殘渣之一部分汽化,以去除上述洗淨殘渣,之後,使晶圓移動到其他處理室中,以高於第1溫度之第2溫度(晶圓上表面溫度)進行熱處理,藉此使殘留之殘渣汽化。
因為可利用較低之溫度進行第1熱處理,所以具有如下優點,即,可使裝置構造較為簡單,或者可確保產量。此外,上述處理具有如下優點,即,可比較完全地(於避免使因處理引起之污染傳播之範圍內)去除必須被處理之背面、側面之殘渣。必須進行第2熱處理之原因在於,如果生成物包含非化學計量之組成,則汽化溫度有時會上升,此外,於具有複雜構造之實際之晶圓上表面上,有效之汽化溫度有時依賴於自然特徵而上升。2.如上述項1之半導體裝置之製造方法,上述第1溫度為100℃以上且未滿150℃。3.如上述項1之半導體裝置之製造方法,上述第2溫度為150至400℃。4.如上述項1之半導體裝置之製造方法,上述第2溫度為165至350℃。5.如上述項1之半導體裝置之製造方法,上述第2溫度為180至220℃。6.如上述項1之半導體裝置之製造方法,上述第2溫度為200℃。7.如上述項1之半導體裝置之製造方法,上述第1腔室與上述第2腔室之間之上述半導體晶圓搬運係真空搬運。8.一種半導體裝置之製造方法,隔著障壁金屬膜,將金屬膜埋入於絕緣膜上開口之連接孔內部,且於上述絕緣膜形成上述連接孔之後,於將上述障壁金屬膜沈積至上述連接孔內部之前,包含以下步驟:(a)將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;(b)經由設置於上述晶圓載置台上方之噴頭供給還原氣體,對上述半導體晶圓之主面上之上述矽表面進行乾式洗淨處理之步驟;(c)以利用上述噴頭之加熱溫度之第1溫度對上述半導體晶圓進行第1熱處理之步驟;此處,上述噴頭維持於高於180℃之溫度。
即,作為熱處理、或者形成各種膜等之晶圓處理前之洗淨處理(例如將矽表面之自然氧化膜轉換成於較低溫度(例如攝氏400度以下)下會昇華、蒸發或者汽化之物質之處理),實施乾式洗淨處理時,同樣於乾式洗淨室中,利用洗淨機構之一部分或者全部,以充分高之溫度(晶圓上表面溫度)對乾式洗淨後生成之沈積、凝聚或凝結於晶圓表面(包括上表面、側面、背面)上之洗淨殘渣(包括洗淨處理生成物)進行熱處理,藉此使上述洗淨殘渣大致全部汽化,以去除上述洗淨殘渣。
此時,因為通過1次熱處理即可去除洗淨殘渣,且可於同一處理室中去除洗淨殘渣,所以具有可有效利用裝置之處理室之優點。9.如上述項8之半導體裝置之製造方法,上述第1溫度為180至220℃。10.如上述項8之半導體裝置之製造方法,上述第1溫度為200℃。11.一種半導體裝置之製造方法,隔著障壁金屬膜,將金屬膜埋入於絕緣膜上開口之連接孔內部,且於上述絕緣膜形成上述連接孔之後,於將上述障壁金屬膜沈積至上述連接孔內部之前,包含以下步驟:(a)將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;(b)經由設置於上述晶圓載置台上方之噴頭供給還原氣體,對上述連接孔內部進行乾式洗淨處理之步驟;(c)將上述半導體晶圓自上述第1腔室搬運至第2腔室之步驟;(d)於上述第2腔室中,以第2溫度對上述半導體晶圓進行熱處理(與上述項1之第2熱處理相對應)之步驟;此處,於上述步驟(b)中,並未將上述還原氣體供給至上述半導體晶圓之側面以及背面。
即,作為熱處理、或者形成各種膜等之晶圓處理前之洗淨處理(例如將矽表面之自然氧化膜轉換成於較低溫度(例如攝氏400度以下)下會昇華、蒸發或者汽化之物質之處理),實施乾式洗淨處理時,於與乾式洗淨室不同之處理室中,以充分高之溫度(晶圓上表面溫度)對乾式洗淨後生成之沈積、凝聚或者凝結於晶圓表面(此時,必須實施乾式洗淨處理,以使沈積物不會附著於上表面之周邊部、側面、背面上)上之洗淨殘渣(包括洗淨處理生成物)進行熱處理,藉此使上述洗淨殘渣大致全部汽化,以去除上述洗淨殘渣。12.如上述項11之半導體裝置之製造方法,上述第2溫度為150至400℃。13.如上述項11之半導體裝置之製造方法,上述第2溫度為165至350℃。14.如上述項11之半導體裝置之製造方法,上述第2溫度為180至220℃。15.如上述項11之半導體裝置之製造方法,上述第2溫度為200℃。16.如上述項11之半導體裝置之製造方法,上述步驟(b)包含以下步驟:(b1)藉由密封件壓住放置於上述晶圓載置台上之上述半導體晶圓周邊部之步驟。17.如上述項11之半導體裝置之製造方法,上述步驟(b)包含以下步驟:(b1)藉由靜電吸盤將上述半導體晶圓吸附至上述晶圓載置台上之步驟;(b2)利用遮蔽環覆蓋上述半導體晶圓周邊部而不與上述半導體晶圓接觸,並使惰性氣體自上述晶圓載置台之周邊部流入之步驟。18.如上述項11之半導體裝置之製造方法,上述步驟(b)包含以下步驟:(b1)藉由密封件壓住放置於上述晶圓載置台上之上述半導體晶圓周邊部之步驟;(b2)使惰性氣體自上述晶圓載置台之背面側流入之步驟。19.如上述項11之半導體裝置之製造方法,上述第1腔室與上述第2腔室之間之上述半導體晶圓搬運係真空搬運。20.如上述項1、8或者11上述之半導體裝置之製造方法,上述金屬膜係鎢膜,上述障壁金屬膜係於鈦膜上堆積氮化鈦膜之積層膜、或者氮化鎢膜。21.如上述項1、8或者11上述之半導體裝置之製造方法,上述金屬膜係銅膜,上述障壁金屬膜係氮化鈦膜、氮化鉭膜、於氮化鉭膜上堆積鉭膜之積層膜、或者於氮化鉭膜上堆積釕膜之積層膜。22.如上述項1、8或者11上述之半導體裝置之製造方法,上述金屬膜係鋁膜,上述障壁金屬膜係於鈦膜上堆積氮化鈦膜之積層膜、或者氮化鎢膜。
如果對由本申請案所揭示之發明中之代表性發明所獲得之效果加以簡單說明,則如下所述。
因為可減小連接孔部分之電氣特性之不均,所以可提高半導體裝置之可靠性和製造良率。
於為了方便且有必要時,將本實施形態分割成多個部分或多個實施形態加以說明,但除了於特別指明之情形下,多個部分或多個實施形態並非互不相關,且存在一個為另一個之一部分或全部之變形例、詳細說明、補充說明等之關係。此外,本實施形態中,當關於要素之數量等(包括個數、數值、量、範圍等)時,除了特別指明時以及原理上明確限於特定之數量時等,上述要素之數量等不限於特定之數量,且可為特定之數量以上,也可為特定數量以下。而且,當然,於本實施形態中,除了特別指明時以及自原理上考慮明確為必須之時,本實施形態之構成要素(也包括要素步驟等)不一定為必須。同樣,於本實施形態中,當關於構成要素等之形狀、位置關係等時,除了特別指明時以及自原理上考慮明確並非如此時,實質上包含與上述形狀等近似或類似之形狀等。於關於上述數值和範圍時,與上述內容相同。
此外,本實施形態中,將代表場效應電晶體之MIS.FET(Metal Insulator Semiconductor Field Effect Transistor,金屬絕緣體半導體效應電晶體)縮寫成MIS,將p通道型之MIS.FET縮寫成pMIS,將n通道型之MIS.FET縮寫成nMIS。此外,為了方便起見,即使記作MOS(Metal Oxide Semiconductor,金屬氧化物半導體),也不排除非氧化膜。此外,本實施形態中,當關於晶圓時,主要指單晶Si(Silicon)晶圓,但並不僅指單晶Si(Silicon)晶圓,而是泛指SOI(Silicon On Insulator,絕緣層上覆矽)晶圓、用以於其上形成集成電路之絕緣膜基板等。晶圓之形狀也並不僅為圓形或者大致圓形,也可為正方形、長方形等。當然,當關於矽膜、矽部、矽部件等時,除了明確並非如此時或明確指出並非如此時,不僅指純粹之矽,也包括含有雜質之矽、SiGe或SiGeC等以矽為主要成分之合為一體之合金等(包括應變矽)、以及含有添加物之矽。此外,於用以對本實施形態進行說明之所有圖中,原則上對具有相同功能之部分附加相同之符號,並省了此部分之重複說明。以下,根據附圖,對本發明之實施形態加以詳細說明。
此外,於用以對本實施形態進行說明之所有圖中,原則上對具有相同功能之部分附加相同之符號,並省了此部分之重複說明。以下,根據附圖,對本發明之實施形態加以詳細說明。
此外,因為乾式洗淨技術已揭示於一之瀨良之日本專利申請案第2006-3704號(2006.1.11提出專利申請)、日本專利申請案第2006-12355號(2006.1.20提出專利申請)、日本專利申請案第2006-107780號(2006.4.10提出專利申請)中,所以原則上,不對與上述專利申請案重複之部分進行復述。
(實施形態1)使用圖1至圖14來說明本發明實施形態1之CMOS(Complementary Metal Oxide Semiconductor,互補金屬氧化物半導體)元件之製造方法。圖1至圖5以及圖11至圖14係CMOS元件之要部剖面圖,圖6係障壁金屬膜之成膜裝置之概略平面圖,圖7係障壁金屬膜之成膜步驟圖,圖8係障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室之概略剖面圖,圖9(a)、圖9(b)以及圖9(c)分別係用以說明障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室中之半導體晶圓之處理步驟之腔室概略剖面圖,圖10係表示於形成nMIS之後,於對半導體基板實施了乾式洗淨處理以及熱處理時之流通於閘電極與半導體基板之間之電流與熱處理溫度之關係之圖表。
首先,如圖1所示,準備例如由p型之單晶矽所構成之半導體基板(稱為半導體晶圓之平面大致為圓形之半導體薄板)1。其次,於半導體基板1之主面上形成元件分離區域4。對半導體基板1進行蝕刻而形成深度為0.35 μm之槽,接著,利用CVD(Chemical Vapor Deposition,化學汽相沈積)法來於半導體基板1之主面上沈積絕緣膜,例如氧化矽膜後,利用CMP(Chemical Mechanical Polishing,化學機械研磨)法去除槽外部之氧化矽膜,藉此形成元件分離區域4。
其次,將p型雜質、例如硼(B)離子注入到半導體基板1之nMIS形成區域中,形成p型井6,將n型雜質、例如磷(P)離子注入到半導體基板1之pMIS形成區域中,形成n型井8。之後,也可將用以控制nMIS或者pMIS之閾值之雜質離子注入到p型井6或者n型井8中。
其次,藉由濕蝕刻對半導體基板1之表面進行洗淨後,對半導體基板1進行熱氧化處理,於半導體基板1之表面(p型井6以及n型井8各自之表面)上形成例如厚度為5 nm左右之閘極絕緣膜9,上述濕蝕刻中使用例如氫氟酸(HF)水溶液。
其次,如圖2所示,於閘極絕緣膜9上形成例如厚度為0.2 μm之閘電極用之導體膜後,以光阻圖案為光罩進行乾蝕刻,藉此對閘電極用之導體膜進行加工,形成由導體膜構成之閘電極10n、10p。閘電極用之導體膜由例如利用CVD法形成之多晶矽膜而構成,於nMIS形成區域中形成由導入n型雜質後之多晶矽膜構成之閘電極10n,於pMIS形成區域中由形成導入p型雜質後之多晶矽膜構成之閘電極10p。
其次,將n型雜質、例如砷(As)離子注入到p型井6中,與nMIS之閘電極10n自對準地形成濃度相對較低之源極.汲極擴張區域11。同樣,將p型雜質、例如氟化硼(BF2 )離子注入到n型井8中,與pMIS之閘電極10p自對準地形成濃度相對較低之源極.汲極擴張區域12。上述源極.汲極擴張區域11、12之深度例如為30 nm。
其次,如圖3所示,利用CVD法,於半導體基板1之主面上沈積例如厚度為10 nm之氧化矽膜13後,利用CVD法,於氧化矽膜13上沈積氮化矽膜。接著,利用RIE(Reactive Ion Etching,反應式離子蝕刻)法,對氮化矽膜進行各向異性蝕刻,於nMIS之閘電極10n以及pMIS之閘電極10p各自之側壁上形成側壁15。之後,將n型雜質、例如砷離子注入到p型井6中,與nMIS之閘電極10n以及側壁15自對準地形成濃度相對較高之源極.汲極擴散區域16。同樣,將p型雜質、例如氟化硼離子注入到n型井8中,與pMIS之閘電極10p以及側壁15自對準地形成濃度相對較高之源極.汲極擴散區域17。上述源極.汲極擴散區域16、17之深度例如為50 nm。
其次,利用自對準矽化物技術,於nMIS之閘電極10n及源極.汲極擴散區域16之表面,以及pMIS之閘電極10p及源極.汲極擴散區域17之表面上,形成低電阻之矽化鎳(NiSi)層18。再者,此處例示了矽化鎳層,但也可形成其他之矽化物層,例如可形成矽化鈦層或者矽化鈷層等。利用例如以下說明之方法而形成形成矽化鎳層18。
首先,利用濺射法,於半導體基板1之主面上依次沈積鎳膜以及氮化鈦膜。鎳膜之厚度例如為10 nm,氮化鈦膜之厚度例如為15 nm。為了防止鎳膜氧化,可於鎳膜上設置氮化鈦膜,也可使用鈦膜來代替氮化鈦膜。接著,使用RTA(Rapid Thermal Anneal,快速高熱退火)法,對半導體基板1實施例如30秒之溫度為320℃之熱處理,藉此使鎳膜與構成nMIS之閘電極10n之n型多晶矽膜、以及鎳膜與構成形成著nMIS之源極.汲極擴散區域16之半導體基板1之單晶矽選擇性地反應,從而形成矽化鎳層18。同樣,使鎳膜與構成pMIS之閘電極10p之p型多晶矽膜、以及鎳膜與構成形成著pMIS之源極.汲極擴散區域17之半導體基板1之單晶矽選擇性地反應,從而形成矽化鎳層18。接著,藉由使用了硫酸之濕法洗淨,或者使用了硫酸與過氧化氫水溶液之濕法洗淨等,去除未反應之鎳膜以及氮化鈦膜之後,使用RTA法,對半導體基板1實施30秒之溫度為550℃之熱處理,藉此使矽化鎳層18之電阻變低。
其次,如圖4所示,利用CVD法,於半導體基板1之主面上沈積氮化矽膜,形成第1絕緣膜19a。接著,藉由電漿CVD法,於第1絕緣膜19a上沈積TEOS(Tetra Ethyl Ortho Silicate,四乙基矽酸鹽)膜,形成第2絕緣膜19b,從而形成由第1以及第2絕緣膜19a、19b所構成之層間絕緣膜。之後,藉由CMP法對第2絕緣膜19b之表面進行研磨。即使因底層高低差異而於第1絕緣膜19a之表面形成凹凸形狀,藉由CMP法對第2絕緣膜19b之表面進行研磨,藉此也可獲得表面平坦之層間絕緣膜。
其次,以光阻圖案為光罩,對第1以及第2絕緣膜19a、19b進行蝕刻,於特定部位形成連接孔20,例如於第1以及第2絕緣膜19a、19b上形成連接孔20,上述第1以及第2絕緣膜19a、19b位於nMIS之閘電極10n及源極.汲極擴散區域16、以及pMIS之閘電極10p及源極.汲極擴散區域17之上方。連接孔20之口徑為0.1 μm以下,例如為0.08 μm。
其次,如圖5(a)所示,於包含連接孔20之內部之半導體基板1之主面上沈積鈦膜21,然後如圖5(b)所示,於鈦膜21上沈積氮化鈦膜22。因為鈦膜21可溶解25 at%之氧原子,所以可用作矽化鎳層18表面之還原材料,且具有減小與矽化鎳層18接觸之電阻之功能。此外,氮化鈦膜22具有如下功能,即,抑制或者防止於之後步驟中埋入連接孔20內部之金屬膜之組分原子擴散。再者,於以下之說明中,將於鈦膜21上堆積氮化鈦膜22而成之積層膜稱為障壁金屬膜,與埋入連接孔20之內部後成為主導電材料之金屬膜加以區分。
使用圖6所示之成膜裝置50而形成鈦膜21以及氮化鈦膜22。以下,使用圖6至圖9,對鈦膜21以及氮化鈦膜22之形成方法加以詳細說明。
成膜裝置50為多腔式,配置著第1搬運室51a與第2搬運室51b此2個搬運室,經由作為開閉機構之閘極凸塊52,於第1搬運室51a之周圍具備裝載機53、卸載機54、以及3個腔室55、56、57,經由作為開閉機構之閘極凸塊52,於第2搬運室51b之周圍具備2個腔室58、59。而且,於第1搬運室51a與第2搬運室51b之間具備2個搬運用之腔室60、61。利用排氣機構等使第1搬運室51a保持為特定之真空度,於上述第1搬運室51a之中央部設置著用以搬運半導體晶圓SW之多關節臂構造之搬運用機械臂62a。同樣,利用排氣機構等使第2搬運室51b保持為特定之真空度,於上述第2搬運室51b之中央部設置著用以搬運半導體晶圓SW之多關節臂構造之搬運用機械臂62b。
第1搬運室51a所具有的腔室55、56係進行例如150℃以上之高溫加熱處理之加熱處理用腔室,腔室57係乾式洗淨處理用腔室。第2搬運室51b所具有的腔室58係利用高指向性濺射法或者電漿CVD法沈積鈦膜21之用於形成鈦膜之腔室,腔室59係藉由MOCVD(Metal Organic Chemical Vapor Deposition,有機化學氣相沈積)法或者電漿CVD法沈積氮化鈦膜22之用於形成氮化鈦膜之腔室。第1搬運室51a與第2搬運室51b之間所具有的腔室60、61係於第1搬運室51a與第2搬運室51b之間授受半導體晶圓SW之授受用腔室,且也係用以冷卻半導體晶圓SW之冷卻用腔室。再者,成膜裝置50中,將僅第1搬運室51a所具有的腔室設為3個,將僅第2搬運室51b所具有的腔室設為2個,但並不限於此,也可添加相同用途之腔室或者其他用途之腔室。
首先,將搭載著多個半導體晶圓SW之前端開口片盒(Front Open Unified Pod)載置到裝載機53上後(圖7中之步驟P1),由搬運用機械臂62a自前端開口片盒取出1片半導體晶圓SW,將上述半導體晶圓SW真空搬入到第1搬運室51a中。前端開口片盒係用於批量搬運半導體晶圓SW之密閉收納容器,通常以25片、12片、6片等批量單位來收納半導體晶圓SW。除了細微之通風過濾器部,前端開口片盒之容器外壁為密閉結構,可大致完全地排除灰塵。因此,即使於潔淨度級別為1000之環境中搬運半導體晶圓SW,內部也可保持1級之潔淨度。將前端開口片盒之開口引入成膜裝置50之內部,藉此於保持潔淨之狀態下,與成膜裝置50進行對接。
其次,利用搬運用機械臂62a,將半導體晶圓SW自第1搬運室51a真空搬入乾式洗淨處理用之腔室57(圖7中之步驟P2)。圖8表示腔室57之概略剖面圖。腔室57主要包括晶圓載置台57a、晶圓頂桿57b、噴頭57c、以及遠程電漿發生裝置57d。晶圓載置台57a以及晶圓頂桿57b具有獨立之升降機構,可任意地控制噴頭57c與半導體晶圓SW之距離、以及半導體晶圓SW與晶圓載置台57a之距離。此外,設置於晶圓載置台57a上方之噴頭57c總維持於固定溫度,此溫度例如為180℃。
當將半導體晶圓SW搬入腔室57時,如圖9(a)所示,使晶圓載置台57a下降,使晶圓頂桿57b上升,將半導體晶圓SW載置到晶圓頂桿57b上。將噴頭57c與半導體晶圓SW之距離設定成例如16.5±12.7 mm,將半導體晶圓SW與晶圓載置台57a之距離設定成例如25.4±17.8 mm。
接著,當對半導體晶圓SW之主面進行乾式洗淨處理時,如圖9(b)所示,使晶圓載置台57a上升,使晶圓頂桿57b下降,將半導體晶圓SW載置到晶圓載置台57a上。將噴頭57c與半導體晶圓SW之距離設定成例如17.8±5.1 mm。
進行乾式洗淨處理時,於遠程電漿發生裝置57d中激發還原氣體,例如激發添加了NF3 氣體以及NH3 氣體之Ar氣體、或者添加了NF3 氣體以及H2 氣體之Ar氣體,從而生成電漿,並將此電漿導入到腔室57內。經由噴頭57c,將導入到腔室57內之電漿供給至半導體晶圓SW之主面上,藉此利用例如式(1)所示之還原反應去除自然氧化膜,上述還原反應產生於電漿與形成於矽化鎳層18表面上之自然氧化膜之間。乾式洗淨處理時之製程條件為,例如噴頭溫度180℃,NF3 氣體流量14 sccm,NH3 氣體流量70 sccm,壓力400 Pa,電漿功率30 W。
SiO2 +NF3 +NH3 → (NH4 )2 SiF6 +O2 式(1)此時,由還原反應所生成之生成物((NH4 )2 SiF6 )殘留於包含連接孔20之內部之半導體晶圓SW之主面上。而且,半導體晶圓SW僅載置於晶圓載置台57a上,上述生成物殘留於半導體晶圓SW之側面以及背面之一部分上。例如當向其他腔室搬運半導體晶圓SW時,殘留於半導體晶圓SW之側面以及背面之一部分上之生成物剝落,從而導致污染或產生灰塵。因此,於乾式洗淨處理之後,接著於腔室57內對半導體晶圓SW實施熱處理,藉此去除殘留於半導體晶圓SW之主面上之生成物,同時去除殘留於半導體晶圓SW之側面以及背面之一部分上之生成物。
接著,於對半導體晶圓SW進行熱處理時,如圖9(c)所示,使晶圓載置台57a下降,使晶圓頂桿57b上升,使半導體晶圓SW向溫度被設定成180℃之噴頭57c靠近。將噴頭57c與半導體晶圓SW之距離設定成例如3.8±2.6 mm,將半導體晶圓SW與晶圓載置台57a之距離設定成例如5.9 mm以上。
進行熱處理時,利用噴頭57c之加熱溫度(180℃)加熱半導體晶圓SW。半導體晶圓SW之溫度為100至150℃,於進行上述乾式洗淨處理時,利用例如式(2)所示之反應,使形成於半導體晶圓SW之主面上之生成物((NH4 )2 SiF6 )昇華以去除此生成物。而且,也可利用上述熱處理對半導體晶圓SW之側面以及背面進行加熱,從而去除殘留於側面以及背面之一部分上之生成物。
(NH4 )2 SiF6 → SiF4 +2NH3 +2HF 式(2)然而,於進行上述乾式洗淨處理時,如果形成於半導體晶圓SW上之生成物之組成與(NH4 )2 SiF6 稍有不同,則於溫度為100至150℃之熱處理下,難以產生式(2)之反應,無法完全去除生成物,從而極少量之生成物會殘留於半導體晶圓SW之主面上。如上述,如果少量之生成物殘留於連接孔20之內部,則將產生之後形成於連接孔20之內部之鈦膜21與矽化鎳層18之接觸電阻之不均等問題。因此,於下一步驟中,對半導體晶圓SW實施溫度高於150℃之熱處理,去除殘留於半導體晶圓SW之主面上之少量之生成物。
其次,利用搬運用機械臂62a,將半導體晶圓SW自乾式洗淨處理用之腔室57,經由第1搬運室51a而真空搬運至加熱處理用之腔室55(或腔室56)中,並將半導體晶圓SW載置到腔室55(或腔室56)所具有的載置台上(圖7中之步驟P3)。將半導體晶圓SW載置到腔室55(或腔室56)之載置台上,藉此以特定之溫度加熱半導體晶圓SW,使於100至150℃之溫度下不昇華之殘留於半導體晶圓SW之主面上之生成物昇華,從而去除此生成物。發明人認為半導體晶圓SW之主面上之溫度之適當範圍係例如150至400℃(當然,因其他條件而不限於上述範圍)。此外,發明人認為,適於量產之溫度範圍為165至350℃,而且180至220℃等以200℃為中心值之溫度範圍最佳。
圖10係表示於形成nMIS(圖10之插入圖)之後,於對半導體基板實施了乾式洗淨處理以及熱處理時之流通於閘電極與半導體基板之間之電流(洩漏電流)與熱處理溫度之關係之圖表。
正常之nMIS之閘極電流為1×10-13 A/μm2 左右,但如果因乾式洗淨處理而生成之生成物殘留於nMIS之表面上,則將因上述生成物而產生5×10-11 A/μm2 以上之表面洩漏電流。然而,如果以高於150℃之溫度進行熱處理,則隨著溫度之增加,表面洩漏電流減少,例如如果以160℃左右之溫度進行熱處理,則洩漏電流將減少到1×10-12 A/μm2 左右,而且如果以180℃左右之溫度進行熱處理,則洩漏電流將減少到1×10-13 A/μm2 左右。因此,本發明人認為,以高於180℃之溫度進行熱處理,藉此可去除生成物,直至於nMIS之表面上無洩漏電流之狀態。
其次,利用搬運用機械臂62a,將半導體晶圓SW自加熱處理用之腔室55(或腔室56),經由第1搬運室51a而真空搬運至冷卻.授受用之腔室60(或腔室61)中,並將半導體晶圓SW載置到腔室60(或腔室61)所具有的載置台上(圖7中之步驟P4)。將半導體晶圓SW載置到腔室60(或腔室61)之載置台上,藉此冷卻半導體晶圓SW。
其次,利用搬運用機械臂62b,將半導體晶圓SW自冷卻.授受用之腔室60(或腔室61),經由第2搬運室51b而真空搬運至用於形成鈦膜之腔室58中(圖7中之步驟P5)。利用排氣機構使腔室58內達到特定之真空度、例如1.33×10-6 Pa之後,將半導體晶圓SW加熱到特定之溫度,以特定之流量向腔室58內導入Ar氣體,並利用高指向性濺射法將鈦膜21沈積至半導體晶圓SW之主面上。鈦膜21之厚度例如為20 nm。再者,除了高指向性濺射法之外,也可藉由電漿CVD法沈積鈦膜21。
其次,利用搬運用機械臂62b,將半導體晶圓SW自用於形成鈦膜之腔室58,經由第2搬運室51b而真空搬運至用於形成氮化鈦膜之腔室59中(圖7中之步驟P6)。利用排氣機構使腔室59內達到特定之真空度之後,將半導體晶圓SW加熱到特定之溫度,以特定之流量向腔室59內導入特定之氣體,並藉由MOCVD法或者電漿CVD法將氮化鈦膜22沈積至半導體晶圓SW之主面上。氮化鈦膜22之厚度例如為5 nm。
其次,利用搬運用機械臂62b,將半導體晶圓SW自用於形成氮化鈦膜之腔室59,經由第2搬運室51b而真空搬運至冷卻.授受用之腔室60(或腔室61)中(圖7中之步驟P7)。
其次,利用搬運用機械臂62a,將半導體晶圓SW自冷卻.授受用之腔室60(或腔室61)真空搬運至卸載機54中(圖7中之步驟P8),並將半導體晶圓SW載置到放置於卸載機54中之前端開口片盒內。
如此,於乾式洗淨處理之步驟(圖7之步驟P2)與沈積鈦膜21之步驟(圖7之步驟P5)之間,對半導體基板1實施150至400℃之熱處理(圖7之步驟P3),藉此去除對連接孔20之底面以及側面進行乾式洗淨處理時所生成之生成物,因此可減小連接孔20之底面上之鈦膜21與矽化鎳層18之接觸電阻之不均。而且,可防止連接孔20之側面上之鈦膜21剝落。
之後,如圖11所示,利用CVD法,於包含連接孔20之內部之半導體基板1之主面上沈積鎢膜23,藉由例如CMP法使鎢膜23之表面平坦,藉此將鎢膜23埋入連接孔20之內部,從而形成以鎢膜23為主導電材料之栓塞。
再者,於上述之於連接孔20之內部形成栓塞之步驟中,將栓塞之主導電材料設為鎢膜23,將障壁金屬膜設為於鈦膜21上堆積氮化鈦膜22而成之積層膜,但並不限於此,也可進行各種改變。例如(1)將栓塞之主導電材料設為鎢膜,將障壁金屬膜設為氮化鎢膜。因為可使用1台鎢成膜裝置來依次形成氮化鎢膜以及鎢膜,所以可提高產量並減少成本。(2)將栓塞之主導電材料設為銅膜,將障壁金屬膜設為氮化鈦膜。(3)將栓塞之主導電材料設為銅膜,將障壁金屬膜設為氮化鉭膜。使用氮化鉭膜,藉此即使於將氮化鈦膜用作障壁金屬膜時,也可抑制或者防止銅原子擴散。(4)將栓塞之主導電材料設為銅膜,將障壁金屬膜設為於氮化鉭膜上堆積鉭膜而成之積層膜。於銅膜與氮化鉭膜之間插入鉭膜,藉此可提高銅膜與氮化鉭膜之密著性。(5)將栓塞之主導電材料設為銅膜,將障壁金屬膜設為於氮化鉭膜上堆積釕膜而成之積層膜。於銅膜與氮化鉭膜之間插入釕膜,藉此可提高銅膜與氮化鉭膜之密著性。(6)將栓塞之主導電材料設為鋁膜,將障壁金屬膜設為於鈦膜上堆積氮化鈦膜而成之積層膜、或者氮化鎢膜。
其次,如圖12所示,於半導體基板1之主面上依次形成擋止絕緣膜24、以及用於形成配線之絕緣膜25。擋止絕緣膜24係於對絕緣膜25進行槽加工時作為蝕刻擋止層之膜,且使用對絕緣膜25具有蝕刻選擇比之材料。擋止絕緣膜24可為例如由電漿CVD法所形成之氮化矽膜,絕緣膜25可為例如由電漿CVD法所形成之氧化矽膜。再者,於擋止絕緣膜24與絕緣膜25上形成著如下所說明之第1層配線。
其次,利用單金屬鑲嵌法而形成第1層配線。首先,以光阻圖案為光罩而進行乾蝕刻,藉此於擋止絕緣膜24以及絕緣膜25之特定區域中形成配線槽26之後,於半導體基板1之主面上形成障壁金屬膜27。障壁金屬膜27係例如氮化鈦膜、氮化鉭膜、於氮化鉭膜上堆積鉭膜而成之積層膜、或者於氮化鉭膜上堆積釕膜而成之積層膜。接著,利用CVD法或濺射法於障壁金屬膜27上形成銅之種晶層,然後使用電解電鍍法於種晶層上形成鍍銅膜。利用鍍銅膜來將障壁金屬膜27埋入配線槽26之內部。接著,藉由CMP法去除配線槽26以外區域之鍍銅膜、種晶層、以及障壁金屬膜27,形成以銅為主導電材料之第1層配線M1。
其次,利用雙金屬鑲嵌法而形成第2層之配線。首先,如圖13所示,於半導體基板1之主面上依次形成覆蓋絕緣膜28、層間絕緣膜29、以及用以形成配線之擋止絕緣膜30。如下所說明般,於覆蓋絕緣膜28以及層間絕緣膜29上形成連接孔。覆蓋絕緣膜28由對層間絕緣膜29具有蝕刻選擇比之材料所構成,例如可為由電漿CVD法形成之氮化矽膜。而且,覆蓋絕緣膜28具有作為保護膜之功能,此保護膜防止構成第1層配線M1之銅擴散。層間絕緣膜29例如可為由電漿CVD法形成之TEOS膜。擋止絕緣膜30由如下絕緣材料所構成,上述絕緣材料對層間絕緣膜29以及之後沈積於擋止絕緣膜30之上層之用於形成配線之絕緣膜具有蝕刻選擇比,例如可為由電漿CVD法形成之氮化矽膜。
其次,以用於形成孔之光阻圖案為光罩而進行乾蝕刻,藉此對擋止絕緣膜30進行加工後,於擋止絕緣膜30上形成用於形成配線之絕緣膜31。絕緣膜31例如可為TEOS膜。
其次,以用於形成配線槽之光阻圖案為光罩而進行乾蝕刻,藉此對絕緣膜31進行加工。此時,擋止絕緣膜30作為蝕刻擋止層而發揮作用。接著,以擋止絕緣膜30以及用於形成配線槽之光阻圖案為光罩而進行乾蝕刻,藉此對層間絕緣膜29進行加工。此時,覆蓋絕緣膜28作為蝕刻擋止層而發揮作用。接著,通過乾蝕刻去除露出之覆蓋絕緣膜28,藉此於覆蓋絕緣膜28以及層間絕緣膜29上形成連接孔32,於擋止絕緣膜30以及絕緣膜31上形成配線槽33。
其次,於連接孔32以及配線槽33之內部形成第2層配線。第2層配線由障壁金屬層以及作為主導電層之銅膜所構成,連接上述配線與作為下層配線之第1層配線M1之連接部件,與第2層配線形成為一體。首先,於包含連接孔32以及配線槽33之內部之半導體基板1之主面上形成障壁金屬膜34。障壁金屬膜34係例如氮化鈦膜、氮化鉭膜、於氮化鉭膜上堆積鉭膜而成之積層膜、或者於氮化鉭膜上堆積釕膜而成之積層膜。於形成障壁金屬膜34之前進行上述乾式洗淨處理,但也可於上述乾式洗淨處理之後,以上述100至150℃之溫度或者高於150℃之溫度對半導體晶圓進行加熱,去除生成於連接孔32之底面以及連接孔32及配線槽33之側壁上之生成物。藉此,可減小障壁金屬膜34與第1層配線M1之接觸電阻之不均,而且可防止障壁金屬膜34自覆蓋絕緣膜28、層間絕緣膜29、擋止絕緣膜30、以及絕緣膜31上剝落。接著,利用CVD法或濺射法,於障壁金屬膜34上形成銅之種晶層,然後使用電解電鍍法於種晶層上形成鍍銅膜。利用鍍銅膜將障壁金屬膜34埋入連接孔32以及配線槽33之內部。接著,藉由CMP法去除連接孔32以及配線槽33以外之區域之鍍銅膜、種晶層、以及障壁金屬膜34,形成以銅膜為主導電材料之第2層配線M2。
之後,如圖14所示,以與例如上述之第2層配線M2相同之方法,形成更上層之配線。圖14中例示著形成了第3層至第6層配線M3、M4、M5、M6之CMOS元件。接著,於第6層配線M6上形成氮化矽膜35,於氮化矽膜35上形成氧化矽膜36。上述氮化矽膜35以及氧化矽膜36作為如下鈍化膜而發揮作用,上述鈍化膜防止外部之水分或雜質侵入,並抑制α射線透過。
其次,利用將光阻圖案作為光罩而進行之蝕刻,對氮化矽膜35以及氧化矽膜36進行加工,使第6層配線M6之一部分(焊墊部)露出。接著,於露出之第6層配線M6上形成由金膜以及鎳膜等積層膜所構成之凸塊底層電極37,於凸塊底層電極37上形成由金或者焊錫等所構成之凸塊電極38,藉此大致完成作為本實施形態1之CMOS元件。再者,上述凸塊電極38為外部連接用電極。之後,自半導體晶圓SW切出各半導體芯片,將半導體芯片安裝到封裝基板等上,從而完成半導體裝置,但省略這些說明。
再者,本實施形態1之乾式洗淨處理中,於遠程電漿發生裝置57d中激發還原氣體,例如激發添加了NF3 氣體以及NH3 氣體之Ar氣體(多使用Ar氣體來作為用於激發電漿之氣體,但也可為其他惰性氣體或上述氣體之混合氣體),生成電漿,將上述電漿導入到腔室57內後,利用還原反應去除自然氧化膜,但也可不使用電漿,將HF氣體、NH3 氣體或NF3 氣體、NH3 氣體等還原氣體導入到腔室57內,藉由還原反應去除自然氧化膜。
此外,並不限於遠程電漿裝置,只要其他特性不存在問題,則也可使用通常之電漿裝置。遠程電漿具有不會損傷基板之優點。
此外,當使用電漿進行處理時,並不限於上述氣體之組合,如果可生成氮、氫、氟(包括上述氣體之複合自由基)各自之自由基或活性粒子,尤其只要不會對上述製程產生不良影響,則也可為其他氣體之組合。即,可適當使用生成氮、氫、及氟之自由基之氣體(包括混合氣體)、電漿激發氣體、其他添加氣體等之混合氣體環境。
此外,還原氣體等反應氣體並不限於上述氣體,只要為生成氧化膜與於較低溫度下產生反應而汽化之活性粒子即可。
如此,根據本實施形態1,於連接孔20之內部形成障壁金屬膜(於鈦膜21上堆積氮化鈦膜22而成之積層膜)之前進行乾式洗淨處理,藉此會於連接孔20之底面以及側面上殘留與化學計量之組成稍不相同之生成物,但因為可藉由於乾式洗淨處理之後進行之溫度高於150℃之熱處理去除上述生成物,所以可減小連接孔20之底面上之障壁金屬膜與矽化鎳層18之接觸電阻之不均,而且可防止連接孔20之側面上之障壁金屬膜剝落,因此可減小連接孔20部分之電氣特性之不均。此外,也可將本發明應用於連接上下層之配線之連接孔部分,從而可獲得同樣之效果。例如於本實施形態1所例示之雙金屬鑲嵌配線之形成步驟中,於連接孔32以及配線槽33之內部形成障壁金屬膜34之前進行乾式洗淨處理,於此乾式洗淨處理之後實施本發明,藉此可減小連接孔32部分之電氣特性之不均。
(實施形態2)如於上述之實施形態1中作出之說明,於障壁金屬膜之形成步驟中進行之乾式洗淨處理中,生成物殘留於半導體晶圓SW之主面上、側面以及背面之一部分上。藉由乾式洗淨處理用之腔室57所具有的設定成180℃之噴頭57c,以100至150℃之溫度加熱半導體晶圓SW,去除(NH4 )2 SiF6 之組成之生成物,然後,於加熱處理用之腔室55、56中,以高於150℃之溫度加熱半導體晶圓SW,去除組成與(NH4 )2 SiF6 稍不相同之生成物。
於本發明之實施形態2中,將乾式洗淨處理用之腔室57所具有的噴頭57CH之溫度設定成高於180℃之溫度,例如設定成250℃,於乾式洗淨處理之後,立即利用噴頭57CH,以180至220℃之溫度加熱半導體晶圓SW,去除(NH4 )2 SiF6 之組成之生成物、以及組成與(NH4 )2 SiF6 稍不相同之生成物。
使用圖15以及圖16來說明本發明實施形態2之障壁金屬膜之形成方法。圖15係障壁金屬膜之成膜步驟圖,圖16(a)、圖16(b)以及圖16(c)分別係用以說明障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室中之半導體晶圓之處理步驟之腔室概略剖面圖。再者,障壁金屬膜之成膜裝置係使用了上述實施形態1之成膜裝置50。
首先,與上述之實施形態1同樣,將半導體晶圓SW自裝載機53真空搬運至乾式洗淨處理用之腔室57中(圖15之步驟P1、P2)。腔室57所具有的噴頭57CH總維持於固定溫度,此溫度例如為250℃。
將半導體晶圓SW搬入腔室57時,如圖16(a)所示,使晶圓載置台57a下降,使晶圓頂桿57b上升,將半導體晶圓SW載置到晶圓頂桿57b上。將噴頭57CH與半導體晶圓SW之距離例如設定成16.5±12.7 mm,將半導體晶圓SW與晶圓載置台57a之距離設定成例如25.4±17.8 mm。
接著,對半導體晶圓SW之主面進行乾式洗淨處理時,如圖16(b)所示,使晶圓載置台57a上升,使晶圓頂桿57b下降,將半導體晶圓SW載置到晶圓載置台57a上。將噴頭57CH與半導體晶圓SW之距離設定為例如17.8±5.1 mm。由還原反應生成之生成物((NH4 )2 SiF6 )殘留於經乾式洗淨處理之半導體晶圓SW之主面上、側面以及背面之一部分上。
接著,對半導體晶圓SW進行熱處理時,如圖16(c)所示,使晶圓載置台57a下降,使晶圓頂桿57b上升,使半導體晶圓SW向溫度設定為250℃之噴頭57CH靠近。將噴頭57c與半導體晶圓SW之距離設定為例如3.8±2.6 mm,將半導體晶圓SW與晶圓載置台57a之距離設定為例如5.9 mm以上。
進行熱處理時,利用噴頭57CH之加熱溫度(250℃)加熱半導體晶圓SW,因此半導體晶圓SW之溫度高於150℃,於進行上述乾式洗淨處理時,去除殘留於半導體晶圓SW之主面上、側面以及背面之一部分上之(NH4 )2 SiF6 之組成之生成物,而且也去除組成與(NH4 )2 SiF6 稍不相同之生成物。
其次,利用搬運用機械臂62a,將半導體晶圓SW自乾式洗淨處理用之腔室57,經由第1搬運室51a而真空搬運至冷卻.授受用之腔室60(或腔室61)中,並將半導體晶圓SW載置到腔室60(或腔室61)所具有的載置台上(圖15之步驟P3)。將半導體晶圓SW載置到腔室60(或腔室61)之載置台,藉此冷卻半導體晶圓SW。
之後,與上述實施形態1同樣,利用搬運用機械臂62b,於用於形成鈦膜之腔室58中沈積鈦膜(圖15之步驟P4),於用於形成氮化鈦膜之腔室59中,於鈦膜上沈積氮化鈦膜之後(圖15之步驟P5),將半導體晶圓SW真空搬運至冷卻.授受用之腔室60(或腔室61)中(圖15之步驟P6)。然後,利用搬運用機械臂62a將半導體晶圓SW真空搬出到卸載機54中(圖15之步驟P7),將半導體晶圓SW載置到放置於卸載機54中之前端開口片盒內。
如此,根據本實施形態2,藉由乾式洗淨處理之後之熱處理,去除於乾式洗淨處理中生成於半導體晶圓SW之側面以及背面之一部分上之多餘之生成物,其中上述熱處理指利用噴頭57CH進行之180至220℃之熱處理,因此無需上述實施形態1中之於熱處理用之腔室55、56中進行之熱處理步驟,從而與上述實施形態1相比,可減少製造步驟數。
(實施形態3)如於上述之實施形態1中作出之說明,於障壁金屬膜之形成步驟中進行之乾式洗淨處理中,一般僅將半導體晶圓SW放置於晶圓載置台57a上,因此,(NH4 )2 SiF6 之組成之生成物不僅殘留於半導體晶圓SW之主面上,也殘留於半導體晶圓SW之側面以及背面之一部分上。例如向其他腔室搬運半導體晶圓SW時,殘留於半導體晶圓SW之側面以及背面之一部分上之生成物剝落,從而導致污染或產生灰塵。因此,於上述之實施形態1以及實施形態2中,於乾式洗淨處理之後,接著於相同之腔室57內對半導體晶圓SW實施熱處理,藉此去除殘留於半導體晶圓SW之主面上之生成物,同時也去除殘留於半導體晶圓SW之側面以及背面之一部分上之生成物。
本發明之實施形態3中,防止於進行乾式洗淨處理時乾式洗淨氣體流入到半導體晶圓SW之背面,藉此防止生成物生成於半導體晶圓SW之側面以及背面之一部分上。因此,無需上述實施形態1中之乾式洗淨處理後接著由噴頭57c對半導體晶圓SW進行之熱處理,即無需如下熱處理,此熱處理指使腔室57所具有的晶圓載置台57a下降,使晶圓頂桿57b上升,使半導體晶圓SW向溫度設定成180℃之噴頭57c靠近(圖9(c))。再者,於熱處理用之腔室55、56中進行溫度高於150℃之熱處理,藉此可去除因乾式洗淨處理而殘留於半導體晶圓SW之主面上之生成物。
使用圖17來說明本發明實施形態3之乾式洗淨處理之方法。圖17(a)、圖17(b)以及圖17(c)分別係障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室之概略剖面圖。
圖17(a)係說明第1乾式洗淨處理方法之圖,上述第1乾式洗淨處理用於防止生成物生成於半導體晶圓SW之側面以及背面之一部分上。半導體晶圓SW放置於晶圓載置台57a上,使具有升降機構之密封件57e接觸並壓住半導體晶圓SW之主面之周圍,藉此防止乾式洗淨氣體流入到半導體晶圓SW之周圍以及背面。
圖17(b)係說明第2乾式洗淨處理方法之圖,上述第2乾式洗淨處理方法用於防止生成物生成於半導體晶圓SW之側面以及背面之一部分上。半導體晶圓SW放置於具有靜電吸盤功能之晶圓載置台57a上,因此可進行乾式洗淨處理,而不會於半導體晶圓SW之背面與晶圓載置台57a之間形成間隙。靜電吸盤係如下之機構,即,於晶圓載置台57a上設置介電層,於晶圓載置台57a與半導體晶圓SW之間施加電壓,利用於兩者間產生之力來吸附半導體晶圓SW。根據吸附方式之不同,靜電吸盤可分成將電介質用作絕緣材料之庫侖力型、與約翰遜拉貝克力型,但氧化鋁等之陶瓷製之靜電吸盤多為於低電壓下具有強吸附力之約翰遜拉貝克力型,因為約翰遜拉貝克力型於耐熱性與耐電漿性方面較為有利,所以正得到普及。因為靜電吸盤不使用機械性固定件,所以可對半導體晶圓SW之整個面均勻地進行處理。
而且,於半導體晶圓SW之主面之周圍具備遮蔽環57f,此遮蔽環57f具有升降機構,以不與半導體晶圓SW接觸之方式,利用遮蔽環57f覆蓋半導體晶圓SW之周圍,使He氣體等惰性氣體自晶圓載置台57a之周圍向上方流動。藉此,防止乾式洗淨氣體流入到半導體晶圓SW之周圍以及背面。
圖17(c)係說明第3乾式洗淨處理方法之圖,上述第3乾式洗淨處理方法用於防止生成物生成於半導體晶圓SW之側面以及背面之一部分上。半導體晶圓SW放置於晶圓載置台57a上,使具有升降機構之密封件57e接觸並壓住半導體晶圓SW之主面之周圍,藉此防止乾式洗淨氣體流入到半導體晶圓SW之周圍以及背面。
而且,於密封件57e之下部具有排氣室57g,此排氣室57g與密封件57e連接,晶圓載置台57a放置於排氣室57g內。於排氣室57g中設置著將He氣體等惰性氣體導入到排氣室57g之配管、及自排氣室57g排出氣體之配管,從而可使惰性氣體流入到半導體晶圓SW之周圍以及背面。
如此,根據本實施形態3,於乾式洗淨處理中,不會於半導體晶圓SW之側面以及背面之一部分上生成多餘之生成物,因此無須於乾式洗淨處理之後,接著利用噴頭57c對半導體晶圓SW進行熱處理,從而與上述實施形態1相比,可減少製造步驟數。
以上,已根據實施形態,對本發明者之發明進行了具體說明,當然,本發明不限於上述實施形態,於不脫離本發明之宗旨之範圍內,可作各種變更。
本發明可用於製造如下之半導體裝置,此半導體裝置具有對於絕緣膜上開口之連接孔之內部進行乾式洗淨處理後埋入金屬膜之步驟。
1...半導體基板
4...元件分離區域
6...p型井
8...n型井
9...閘極絕緣膜
10n...閘電極
10p...閘電極
11...源極.汲極擴張區域
12...源極.汲極擴張區域
13...氧化矽膜
15...側壁
16...源極.汲極擴散區域
17...源極.汲極擴散區域
18...矽化鎳層
19a...第1絕緣膜
19b...第2絕緣膜
20...連接孔
21...鈦膜
22...氮化鈦膜
23...鎢膜
24...擋止絕緣膜
25...絕緣膜
26...配線槽
27...障壁金屬膜
28...覆蓋絕緣膜
29...層間絕緣膜
30...擋止絕緣膜
31...絕緣膜
32...連接孔
33...配線槽
34...障壁金屬膜
35...氮化矽膜
36...氧化矽膜
37...凸塊底層電極
38...凸塊電極
50...成膜裝置
51a...第1搬運室
51b...第2搬運室
52...閘極凸塊
53...裝載機
54...卸載機
55、56、57...腔室
57a...晶圓載置台
57b...晶圓頂桿
57c、57CH...噴頭
57d...遠程電漿發生裝置
57e...密封件
57f...遮蔽環
57g...排氣室
58、59、60、61...腔室
62a、62b...搬運用機械臂
M1、M2、M3、M4、M5、M6...配線
SW...半導體晶圓
圖1係表示作為本發明實施形態1之CMOS元件之製造步驟的半導體基板之要部剖面圖。
圖2係接著圖1之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖3係接著圖2之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖4係接著圖3之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖5(a)係接著圖4之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖,圖5(b)係接著圖5(a)之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖6係本發明實施形態1之障壁金屬膜之成膜裝置之概略平面圖。
圖7係本發明實施形態1之障壁金屬膜之成膜步驟圖。
圖8係本發明實施形態1之障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室之概略剖面圖。
圖9(a)、圖9(b)以及圖9(c)分別係用以說明本發明實施形態1之障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室中之半導體晶圓之處理步驟之腔室概略剖面圖。
圖10係表示於形成nMIS之後,於對半導體基板實施了乾式洗淨處理以及熱處理時之流通於閘電極與半導體基板之間之電流與熱處理溫度之關係的圖表。
圖11係接著圖5之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖12係接著圖11之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖13係接著圖12之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖14係接著圖13之CMOS元件之製造步驟中的與圖1相同部位之要部剖面圖。
圖15係本發明實施形態2之障壁金屬膜之成膜步驟圖。
圖16(a)、圖16(b)以及圖16(c)分別係用以表示本發明實施形態2之障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室中之半導體晶圓之處理步驟的腔室概略剖面圖。
圖17(a)、圖17(b)以及圖17(c)分別係用以表示本發明實施形態3之障壁金屬膜之成膜裝置所具有的乾式洗淨處理用腔室之概略剖面圖。
57...腔室
57a...晶圓載置台
57b...晶圓頂桿
57c...噴頭
57d...遠程電漿發生裝置
SW...半導體晶圓

Claims (8)

  1. 一種半導體裝置之製造方法,其係隔著障壁金屬膜,將金屬膜埋入於絕緣膜開口之連接孔內部者,且於上述絕緣膜形成上述連接孔之後,於將上述障壁金屬膜沈積至上述連接孔內部之前,包含以下步驟:(a)將半導體晶圓放置到第1腔室所具有的晶圓載置台上之步驟;(b)經由設置於上述晶圓載置台上方之噴頭供給已電漿激發之還原氣體,對上述連接孔內部進行乾式洗淨處理,藉由還原反應去除上述連接孔內部之自然氧化膜之步驟;(c-1)於上述步驟(b)之後,使上述半導體晶圓從上述晶圓載置台上升,以使前述半導體晶圓之主面接近至前述噴頭;(c-2)於上述步驟(c-1)之後,以利用上述噴頭之加熱溫度之第1溫度對上述半導體晶圓進行熱處理,而去除由上述還原氣體與上述自然氧化膜之上述還原反應所產生而殘留於上述連接孔內部之化學計量之組成之生成物之步驟;(d)於上述步驟(c-2)之後,將上述半導體晶圓自上述第1腔室搬運至第2腔室之步驟;(e)於上述步驟(d)之後,於上述第2腔室中,以高於上述第1溫度之第2溫度對上述半導體晶圓進行熱處理,而去除由上述還原氣體與上述自然氧化膜之上述還原反應 所產生而殘留於上述連接孔內部之非化學計量之組成之生成物之步驟;其中上述還原氣體係NF3 氣體及NH3 氣體或NF3 氣體及H2 氣體,上述第1溫度係100至150℃,上述第2溫度係150至400℃。
  2. 如請求項1之半導體裝置之製造方法,其中上述第2溫度為165至350℃。
  3. 如請求項1之半導體裝置之製造方法,其中上述第2溫度為180至220℃。
  4. 如請求項1之半導體裝置之製造方法,其中上述第2溫度為200℃。
  5. 如請求項1之半導體裝置之製造方法,其中上述第1腔室與上述第2腔室間之上述半導體晶圓之搬運係真空搬運。
  6. 如請求項1之半導體裝置之製造方法,其中上述金屬膜係鎢膜,上述障壁金屬膜係於鈦膜上堆積氮化鈦膜之積層膜、或者氮化鎢膜。
  7. 如請求項1之半導體裝置之製造方法,其中上述金屬膜係銅膜,上述障壁金屬膜係氮化鈦膜、氮化鉭膜、於氮化鉭膜上堆積鉭膜之積層膜、或者於氮化鉭膜上堆積釕膜之積層膜。
  8. 如請求項1之半導體裝置之製造方法,其中上述金屬膜係鋁膜,上述障壁金屬膜係於鈦膜上堆積氮化鈦膜之積層膜、或者氮化鎢膜。
TW096111502A 2006-05-18 2007-03-30 Semiconductor device manufacturing method TWI414041B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006138949A JP2007311540A (ja) 2006-05-18 2006-05-18 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200810014A TW200810014A (en) 2008-02-16
TWI414041B true TWI414041B (zh) 2013-11-01

Family

ID=38712490

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096111502A TWI414041B (zh) 2006-05-18 2007-03-30 Semiconductor device manufacturing method

Country Status (5)

Country Link
US (2) US7851355B2 (zh)
JP (1) JP2007311540A (zh)
KR (1) KR20070111979A (zh)
CN (1) CN101075577B (zh)
TW (1) TWI414041B (zh)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311457A (ja) 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US20090072400A1 (en) * 2007-09-18 2009-03-19 International Business Machines Corporation Contact forming in two portions and contact so formed
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
JP2009141096A (ja) * 2007-12-06 2009-06-25 Renesas Technology Corp 半導体装置の製造方法
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US8642477B2 (en) 2008-05-30 2014-02-04 United Microelectronics Corp. Method for clearing native oxide
JP5431752B2 (ja) 2009-03-05 2014-03-05 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP2011119330A (ja) * 2009-12-01 2011-06-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5550511B2 (ja) * 2010-09-29 2014-07-16 株式会社東芝 半導体装置の製造方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8641828B2 (en) 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20130056014A (ko) * 2011-11-21 2013-05-29 삼성전자주식회사 듀얼 다마신 배선 구조체를 포함하는 반도체 소자
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP2015079821A (ja) * 2013-10-16 2015-04-23 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9847296B2 (en) * 2014-02-14 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer and structure method
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6397806B2 (ja) * 2015-09-11 2018-09-26 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
JP7080111B2 (ja) * 2018-06-19 2022-06-03 東京エレクトロン株式会社 金属膜の形成方法及び成膜装置
JP2020123672A (ja) * 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN114464761B (zh) * 2022-01-20 2023-12-01 武汉华星光电半导体显示技术有限公司 一种有机发光装置的制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW480623B (en) * 1999-01-27 2002-03-21 Applied Materials Inc Reticle adapter for a reactive ion etch system
US20040097088A1 (en) * 2001-01-23 2004-05-20 Hirofumi Kitayama Conductor treating single-wafer type treating device and method for semi-conductor treating
TWI220906B (en) * 1999-08-11 2004-09-11 Tokyo Electron Ltd Film forming device
TW200533777A (en) * 2004-02-26 2005-10-16 Applied Materials Inc In-situ dry clean chamber for front end of line fabrication
WO2005098913A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited Ti膜およびTiN膜の成膜方法およびコンタクト構造、ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JPH03116727A (ja) 1989-09-28 1991-05-17 Fujitsu Ltd 半導体装置の製造方法
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
JP3989286B2 (ja) 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100583637B1 (ko) * 2003-08-19 2006-05-26 삼성전자주식회사 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
JP3929966B2 (ja) * 2003-11-25 2007-06-13 新光電気工業株式会社 半導体装置及びその製造方法
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
JP5042517B2 (ja) * 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW480623B (en) * 1999-01-27 2002-03-21 Applied Materials Inc Reticle adapter for a reactive ion etch system
TWI220906B (en) * 1999-08-11 2004-09-11 Tokyo Electron Ltd Film forming device
US20040097088A1 (en) * 2001-01-23 2004-05-20 Hirofumi Kitayama Conductor treating single-wafer type treating device and method for semi-conductor treating
TW200533777A (en) * 2004-02-26 2005-10-16 Applied Materials Inc In-situ dry clean chamber for front end of line fabrication
WO2005098913A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited Ti膜およびTiN膜の成膜方法およびコンタクト構造、ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム

Also Published As

Publication number Publication date
CN101075577B (zh) 2011-09-21
KR20070111979A (ko) 2007-11-22
TW200810014A (en) 2008-02-16
US20110070731A1 (en) 2011-03-24
US8021979B2 (en) 2011-09-20
US20070269976A1 (en) 2007-11-22
US7851355B2 (en) 2010-12-14
JP2007311540A (ja) 2007-11-29
CN101075577A (zh) 2007-11-21

Similar Documents

Publication Publication Date Title
TWI414041B (zh) Semiconductor device manufacturing method
TWI405251B (zh) Semiconductor device manufacturing method
TWI407510B (zh) Semiconductor device manufacturing method
JP4653949B2 (ja) 半導体装置の製造方法および半導体装置
TW521373B (en) Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
CN106356331B (zh) 钴互连件技术
TW200933742A (en) Method of manufacturing a semiconductor device
US7964500B2 (en) Method of manufacturing semiconductor integrated circuit device
JP5507654B2 (ja) 半導体装置の製造方法
TWI812840B (zh) 半導體元件製造處理及半導體結構
TW201543536A (zh) 形成積體電路結構之方法
US20100248463A1 (en) Enhancing adhesion of interlayer dielectric materials of semiconductor devices by suppressing silicide formation at the substrate edge
US6727187B2 (en) Fabrication method for semiconductor device
JP2009141096A (ja) 半導体装置の製造方法
US7670952B2 (en) Method of manufacturing metal silicide contacts
JP2001308054A (ja) 半導体装置の製造方法
TWI774481B (zh) 半導體器件及其製造方法
JP2007227698A (ja) 半導体装置の製造方法
TW200425353A (en) Semiconductor device manufacturing method and film forming method
TW202401602A (zh) 半導體裝置及其形成方法
JP2005093909A5 (zh)
JP2005327884A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees