TWI310726B - Method and system for double-sided patterning of substrates - Google Patents

Method and system for double-sided patterning of substrates Download PDF

Info

Publication number
TWI310726B
TWI310726B TW095145630A TW95145630A TWI310726B TW I310726 B TWI310726 B TW I310726B TW 095145630 A TW095145630 A TW 095145630A TW 95145630 A TW95145630 A TW 95145630A TW I310726 B TWI310726 B TW I310726B
Authority
TW
Taiwan
Prior art keywords
substrate
mold assembly
mold
template
chuck
Prior art date
Application number
TW095145630A
Other languages
English (en)
Other versions
TW200730325A (en
Inventor
Byung-Jin Choi
Sidlgata V Sreenivasan
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW200730325A publication Critical patent/TW200730325A/zh
Application granted granted Critical
Publication of TWI310726B publication Critical patent/TWI310726B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)
  • Casting Or Compression Moulding Of Plastics Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

1310726 九、發明說明: 【發明所屬之技術領域3 相關申請案之交叉參考
本申請案係請求2005年12月8曰提交名稱為“用於雙側 5 邊壓印之壓印、對準、及分離之裝備及方法”的美國臨時申 請案60/748,430號之優先權,其整體以引用方式併入本文 中D 發明領域 本發明的領域係概括有關結構之奈米製造。更特定言 10 之,本發明係有關一用於圖案化一基材的雙側邊之方法及 系統。
L先前技術U 發明背景 奈米製造係包含很小結構之製造,譬如具有奈米或更 15 小級數的特性。奈米製造發揮可觀影響之一領域係在於積 體電路之加工。由於半導體加工業持續致力更高的生產良 率同時增加一基材上所形成之每單位面積的電路,奈米製 造變得日益重要。奈米製造係提供較大的程序控制且容許 所形成結構之最小特性維度更加被縮小。已經採用奈米製 20 造之其他發展領域係包括生物科技、光學科技、機械系統 及類似物。 示範性奈米製造技術常稱為壓印微影術。示範性壓印 微影術程序係詳細描述於許多公開文件中,諸如以名稱為 “用以將特性配置於一基材上以複製具有最小維度變異的 1310726 特性之方法及模具”的以美國專利申請案10/264,964號提交 之美國專利申請案公告2004/0065976號;以名稱為“用於形 成一層於一基材上以利製造度量標準之方法”的以美國專 利申請案10/264,926號提交之美國專利申請案公告 5 2004/0065252號;及名稱為“用於壓印微影術程序之功能性 圖案化材料”之美國專利案6,936,194號,其皆讓渡予本發明 的受讓人。 各上述美國專利申請案公告及美國專利案所揭露的壓 印微影術技術係包括形成一浮雕圖案於一可聚合層中及將 1〇 一對應於該浮雕圖案之圖案轉移至一下方的基材中。基材 可定位在一動作階台上來獲得一所需要位置以利其圖案 化。有鑑於此,與基材分開地採用一模板而在模板與基材 之間出現有一可成形液體。液體係被固體化以形成_經固 體化層,經固體化層中係記錄有一符合與液體接觸之模板 15表面的一形狀之圖案。模板隨後自經固體化層分離使得模 板及基材分開。基材及經固體化層隨後受到將一對麻於麵 固體化層中的圖案之浮雕圖案轉移至基材中之程序。 部分應用中,可能希望形成一浮雕圖案於基材的第— 及第二相對側邊上。形成一圖案於基材的第一及第二相對 2〇側邊上之方式亦即雙側邊圖案化係在圖案狀媒體壓印之領 域中可能有利。有鑑於此,因此需要提供一用於圖案化其 材雙側邊之方法及系統。 C發明内容3 依據本發明之一實施例,係特地提出一種用於以—模 1310726 具總成圖案化一基材之方法,該基材具有第一及第二相對 側邊,該方法包含以下步驟:獲得該基材與該模具總成之 間的一第一空間關係以使該基材的第一側邊疊置於該模具 總成,該模具總成及該基材的第一側邊具有一設置於其間 5 之材料;以該模具總成形成一圖案於該基材的第一側邊上 之材料中,界定一第一圖案狀層;獲得該基材與該模具總 成之間的一不同於該第一空間關係之第二空間關係以使該 基材的第二側邊疊置於該模具總成,其中該模具總成及該 基材的第二側邊具有一設置於其間之材料;及以該模具總 10 成形成一圖案於該基材的第二側邊上之材料中,界定一第 二圖案狀層。 依據本發明之一實施例,係特地提出一種用於圖案化 一基材之方法,該基材具有第一及第二相對側邊,該方法 包含以下步驟:將一材料定位在該基材的第一側邊上;獲 15 得該基材與一第一模具總成之間的一第一空間關係以使該 基材的第一側邊疊置於該第一模具總成;以該第一模具總 成形成一圖案於該基材的第一側邊上之材料中,界定一第 一圖案狀層;將一材料定位於該基材的第二側邊上;獲得 該基材與該第二模具總成之間的一不同於該第一空間關係 20 之第二空間關係以使該基材的第二側邊疊置於該第二模具 總成,及;以該第二模具總成形成一圖案於該基材的第二 側邊上之材料中,界定一第二圖案狀層。 依據本發明之一實施例,係特地提出一種用於圖案化 一具有第一及第二相對側邊的基材之系統,該系統包含: 1310726 一模具總成;及一機械臂,其耦合至該基材以相對於該模 具總成交替地放置該基材於第一及第二位置中藉以使該模 具總成可接觸設置於該基材的第一相對側邊上之一材料, 界定一第一圖案狀層,及進一步接觸設置於該基材的第二 5 相對側邊上之一材料,界定一第二圖案狀層。 依據本發明之一實施例,係特地提出一種用於圖案化 一具有第一及第二相對側邊的基材之系統,該系統包含: 一第一模具總成;一第二模具總成,其設置為與該第一模 具總成相對;及一平移階台,其相對於該第一及第二模具 10 總成交替地放置該基材於第一及第二位置中藉以在該第一 位置中使該第一總成接觸設置於該基材的第一側邊上之一 材料且在該第二位置中使該第二模具總成接觸設置於該基 材的第二侧邊上之一材料。 圖式簡單說明 15 第1圖為一具有與一基材分開的一模板之微影系統的 簡化側視圖,基板具有第一及第二相對側邊; 第2圖為第1圖所示之模板的俯視圖; 第3圖為第1圖之模板的側視圖; 第4圖為第2圖的一部分之分解圖,模板具有一對準標 20 記; 第5圖為第1圖所示之基材、及一用於偵測基材之光學 偵測系統的側視圖; 第6圖為第1圖所示之基材、及一用於偵測基材之光學 偵測系統的俯視圖; 1310726 第7圖為一用以處置第丨圖所示的基材之機械臂的俯視 圖; 第8圖為顯示第一實施例中如第j圖所示之一用以圖案 化基材的第H相對側邊之方法之流程圖; 5 第9圖為第1圖所示的系統之側視圖,其中-機械臂具 有一用於將一基材夹盤上的基材定位在一第一位置中; 第10圖為第9圖所示的系統之側視圖,其中基材具有一 設置於其一第一側邊上之材料; 第11圖為第10圖所示的系統之側視圖,其中模板係接 10觸設置於基材的第一側邊上之流體; 第12圖為第11圖所示的系統之側視圖,其中機械臂將 基材夾盤上的基材定位在一第二位置中; 第13圖為第12圖所示的系統之側視圖,其中模板係接 觸一設置於基材的第二側邊上之流體; 15 帛14圖為另-實施例中之-具有與-第二模板相對的 -第-模板及-基材之微影系統的側視圖,該基材具有第 一及第二相對側邊; 第15圖為顯示另-實施例中之一用於圖案化第14圖所 示之基材的第一及第二相對侧邊之方法的流程圖; 20 第16圖為第14圖所示的系統之側視圖,其中一機械臂 將一基材夾盤上的基材定位在一第一位置中; 第17圖為第16圖所示的系統之側視圖,其中基材具有 一設置於其第一側邊上之材料; 第18圖為第17圖所示的系統之側視圖,其中第一模板 1310726 係接觸設置於基材的第一側邊上之流體; 第19圖為第18圖所示的系統之側視圖,其中基材被耦 合至第一模板且基材具有一設置於其第二側邊上之材料; 第20圖為第19圖所示的系統之側視圖,其中第二模板 5 係接觸設置於基材的第二側邊上之流體; 第21圖為第20圖所示的系統之側視圖,其中第二模板 係與基材分開; 第22為第21圖所示的系統之側視圖,其中基材被定位 在基材夾盤上而在其第一及第二侧邊上形成有一圖案; 10 第23圖為另一實施例中之一具有與一第二模板相對的 一第一模板及一基材之微影系統的側視圖,基材具有第一 及第二相對側邊; 第24圖為另一實施例中顯示一用於圖案化第23圖所示 的基材的第一及第二相對侧邊之方法的流程圖; 15 第25圖為第23圖所示的系統之側視圖,其中基材具有 一設置於其第一及第二側邊上之材料; 第26圖為第25圖所示的系統之側視圖,基材係與一銷 處於一所需要的空間性關係; 第27圖為第26圖所示的系統之側視圖,基材被定位在 20 銷上; 第28圖為第27圖所示的系統之側視圖,其中第二模板 係接觸設置於基材的第二側邊上之流體; 第29圖為第28圖所示的系統之側視圖,其中第一模板 係接觸設置於基材的第一側邊上之流體; 1310726 第30圖為第29圖所示的系統之側視圖,其中第一模板 與基材分開;及 第31圖為第3〇圖所示的系統之側視圖,其中第一及第 二模板與基材分開。 5 【實施方式】 較佳實施例之詳細說明 參照第1圖,顯示一系統10以形成一浮雕圖案於一基材 16的一第一側邊12及一第二側邊14上。一範例中,基材16 可大致沒有對準標記。基材16可耦合至一基材夾盤18,其 10 中基材夾盤18係為包括但不限於真空及電磁性之任意夾 盤。基材夾盤18可進一步包含一面對基材16之腔穴19。基 材16及基材夾盤18可被支撐在一第一階台20及一第二階台 22上,其中第一階台20被定位在基材夾盤18與第二階台22 之間。並且’第一及第二階台20及22可定位在一基底23上。 15第一階台20可提供沿一第一軸線之動作,而第二階台22則 可提供沿一第二軸線之動作,第二軸線正交於第一轴線, 亦即,第一及第二軸線係為乂及7軸線。本發明中的示範性 階台係可得自加州爾灣的新埠公司(Newp〇rt c〇rp〇rati〇n) 之料號XM2000。基材16進一步包含一通路25,通路25係具 20有沿基材16的第一側邊12之一開孔27及沿基材16的第二侧 邊14之一開孔29。然而,另—實施例中,基材16可大致沒 有通路25。 一與基材16分開之模板24係具有一自其延伸朝向基材 16之台面26而其上具有-圖案化表面28。台面26亦可稱為 1310726 一模具26。然而,另一實施例中,模板24可大致沒有模具 自^^不限於下列此等材料形 成:溶煉碎土、石英1、有機聚合物、錢燒聚合物、 卿酸玻璃、氟碳聚合物、金屬、及經硬化藍寶石。如圖 所示’圖案化表面28係包含由複數個分開的凹部3〇及突部 32所界定之特性。然、而,另-實施例中,圖案化表面28可 大致呈平坦及/或平面性。圖案化表面28可界原始圖案
而其形成一被形成於基材16的第一及第二側邊12及14上之 圖案的基礎,如下文進-步描述。模板24可搞合至一模板 10夾盤34,模板夾盤34係為包括但不限於真空及電磁性等之 任意夾盤。並且,模板夾盤34可耦合至一壓印頭36以利模 板24及模具26的運動。 參照第2及3圖,顯示模板24的俯視圖。如圖所示,模 板24包含一圓形。然而,另一實施例中,模板24可包含任 15何所需要的幾何形狀。並且,模板24可包含一第一區38、 一第二區40、及一第三區42,其中第二區40被定位在第一 區38與第三區40之間。第二區40稱為一主動區4〇。尚且, 如圖所示,第三區42可定位在模板24的一中心處;然而, 另一實施例中’第三區42可定位在模板24之所需要的任意 20位置處。模具26如第1圖所示可與主動區40呈疊置。主動區 40及第二區42可具有一高度h!。一範例中,高度h可位於5 至15微米的範圍甲。另一實施例中,主動區4〇及第三區42 的高度可為不同。尚且,可有一設置於主動區4〇與第三區 42之間的凹部44。 12 1310726 參照第2及4圖,第三區42可包含一對準標記46。—範 例中,對準標記46可為—鮮通賴準標_AT)。可採用 對準標記46來獲得模板24與基材狀間的_所需要空間關 係,如第1圖所示。 5 #照第1圖’系統1Q進—步包含—流體配送器48。流體 配送器48可與基材16呈流體導通藉以將一聚合材料如定: 在基材16上,如下文進一步描述。如圖所示,流體配送器 • 48係耗合至模板夾盤t然而,另-實施例中,流體配送 器48可麵合至系統_任何部分,亦即模板料壓印頭 10 36。並且,純1G可包含任何數量的流體配送器且流體配 . 送器48中可包含複數個配送單元。聚合特料50可利用譬如 ‘ 越配送、旋塗、沾塗、薄膜沉積、厚祺沉積、及類似物 等任何已知技術定位在基材16上。如圖所示,聚合材料% 可定位在基材16上作為複數個分開的滴粒52。 15 系統10進一步包含沿著一路徑58耦合至直接能量56之 # 能量56的一供源54。一範例中,供源54可為—耦合於一液 體導件或一紫外纖維導件之紫外光發射燈。本發明中之_ 示範性能量供源係得自康乃狄克州托靈頓的DYMAX公司 (DYMAX Corporation)之料號BlueWaveTM2〇〇 Sp〇t Lamp。 20壓印頭36及第一及第二階台20及22係構形為可分別將模具 26及基材16配置為疊置且設置於路徑58内。壓印頭36、第 一及第二階台20及22、或上述的一組合係可改變模具%與 基材16之間的一距離以界定其間被聚合材料5〇所充填之一 所需要容積,如下文進一步描述。 13 1310726 系統ίο進一步包含一具有成像單元60a及60b之光學偵 測系統。如圖所示,成像單元60a可耦合至流體配送器48; 然而’另一實施例中,成像單元60a可耦合至系統10的任何 部分,亦即,模板24,模板夾盤34,或壓印頭36。尚且, 5如圖所示,成像單元60b耦合至第二階台22 ;然而,另一實 施例中,成像單元60b可耦合至系統1〇的任何部分;亦即, 基材夾盤18或第一階台20。並且,系統1〇可包含任何數量 的成像單元60a及60b。成像單元60a及60b可為與一影像處 理模組(未圖示)呈資料導通之一顯微鏡。另一實施例中,成 10像單元6〇a及60b可為一雷射邊緣偵測感測器。 參照第1、5及6圖’可分別採用成像單元6〇a及60b來偵 測基材16及26。更確切言之,成像單元可偵測基材16的一 邊緣62。另一實施例中,可採用此時在第5及6圖中顯示為 成像單元64a、64a’、64b、64b,之成像單元60a來決定基材 15 16的一中心位置,亦即,沿X及y軸之通路25。更確切言之, 成像單元64a及64b可分別為雷射產生束66a及66b,其中成 像單元64a’及64b’分別為強烈度感測器偵測束66a及66b。如 圖所示,成像單元64a、64a’、64b、64b’可偵測開孔25。可 採用成像單元64a及64b作為偏離軸線或貫穿模組。本發明 20 中所採用的示範性強烈度感測器係得自紐澤西州伍克力夫 的凱因思公司(Keyence, Inc.)之料號LV-H37。 參照第1及7圖,系統10進一步包含一用以將基材16定 位於基材夾盤18上及自基材夾盤18予以移除之機械臂68。 機械臂68可為此技藝已知之任何處置機械臂。一範例中, 14 1310726 機械臂68包含一耦合至一驅動部件72之臂70。臂7〇進—牛
^有-與其齡之端點實行器73以處置基材I, 中,端點實行器73可為—邊 粑1歹J 拉杜m ㈣ 邊緣握持或薄空氣腔穴夹盤以固 =住基材_科觸其切有聚合㈣版基㈣的—區 戈收ΓΡ = 16之主動區域。驅動部件72係可使臂70延伸
、使㈣在-圓形中水平;I 10 15 20 ,3提供f7G的任何所需要動作。鶴部件72可提供 上述沿第-及第二轴線之動作一範例中,驅動部件啊 沿X軸線旋轉以翻轉基材16,如下文進-步描述。驅動部件 72亦可沿E74旋轉。基材E7钟可包含複數個基材16。 參照第1圖,-般來說,可在所需要容積被界定於模具 26與基材16間之前使聚合材_定位在紐16上。然而,、 可在已經獲得所需要容積之後使聚合材⑽充填該容積。 所萬要谷積充填有聚合材料5G之後,供源54可產生譬如寬 頻紫外輻料能,其造絲合材料%㈣化及/或交聯 而符合模具26的圖案化表面28及基材16的第一側邊12之一 开^狀此程序的控制係由一與第一及第二階台2〇及22、壓 印頭36、流體配送器48、供源54、成像單元6如及6〇b、及 機械4"68呈^料導通之處理器76_^以調節,其係以記憶體 78中所儲存的一電腦可讀取式程式來操作。 如上述’可採用系統1〇來形成一圖案於基材16的第一 側邊12上。然而’可能希望形成一圖案於基材“的第二側 邊14上使得基材16的第一及第二側邊12及14上皆形成有圖 案。有鑑於此,下文描述一用於形成一圖案於基材16的第 15 1310726 —及第二側邊12及14上之系統及方法。 參照第8及9圖,第一實施例中,顯示一用於形成一圖 案於基材16的第-及第二側邊12及14上之方法及系統。如 上述,在步驟100,基材16可定位在基材夾盤18上。更確切 5 σ之,第一及第二階台20及22可將基材夾盤18定位為緊鄰 於機械臂68使得機械臂68可將基材16定位在基材夾盤以 上。機械臂68可自基材£74轉移基材16並將基材16定位在 基材夾盤18上藉讀第-及第三侧邊12及14的一側邊可被 疋位為與基材夾盤18者呈現相對。第一範例中,機械臂68 ⑺可定位基材16使得第-側邊12背離基材炎盤18而第二侧邊 Η則面向基材夾盤18。第二範财,機械⑽可定位基材 16使得第二側邊14背離基材夾盤18而第一側邊咖面向基 材夾盤18。在步驟102,成像單元60a可決定基材16的-位 置。更確切言之,可採用成像單元6〇a來決定基材16的一中 15心位置,如同上文第5及6圖對於系統10的任何元件亦即模 具18、配送單元48、或機械臂68所描述。結果,可獲得基 材16相對於系統10的任何部分之一所需要空間關係。 參照第8及10圖’在步驟1〇4,第一及第二階台如及^ 可使基材16平移故可在基材16與流體配送器48之間獲得一 20所需要位置。結果,流體配送器48可將聚合流體5〇定位在 基材16的第一側邊丨2上,如上述。 參照第8及11圖,在步驟1〇6,可獲得基材16與模具% 之間的一所需要位置。更碎切言之,第-及第二階台20及 22及I印頭36可定位基材夾盤18使得基材16可疊置於模具 16 1310726 26且使進一步的聚合材料50充填基材16與模具26之間的所 需要容積。在步驟,如上述,設置於基材16的第一側邊 12上之聚合材料50可被固體化及/或交聯而符合基材16的 第一側邊12及模具26的一圖案化表面28。在步驟11〇,18可 5 與設置於基材16的第一側邊12上之聚合材料5〇產生分離。 參照第8及12圖’在步驟112,類似上文對於步驟1〇〇所 描述’第一及第二階台20及22可將基材夾盤18定位為緊鄰 於機械臂68。在步驟114,機械臂68可使基材16經由機械臂 68自基材夾盤18分離。在步驟116 ’基材16可被分析以決定 10基材16的第一及第二側邊12及14是否被圖案化。有鑑於 此,在步驟118,有鏗於此,若是只有基材16之第一及第二 側邊12及16的一側邊被圖案化,機械臂68可使臂7〇沿其軸 線旋轉以將基材16相對於18翻轉180。且進一步將基材16定 位在基材炎盤18上使得基材16之第一及第二側邊12及14的 15留存未圖案化側邊可定位為與基材夾盤18者呈現相對。第 一範例中,若是基材16的第一側邊12被圖案化,機械臂68 將定位基材16使得第一側邊12面向基材夾盤18且第二側邊 14背離基材夹盤18。第二範例中,若是基材16的第二側邊 14被圖案化,機械臂68將定位基材16使得第二側邊12面向 2〇基材夾盤18且第—側邊12背離基材夾盤18。尚且,基材16 的第一及第二側邊12及14之一側邊上所圖案化之聚合材料 5〇係可被定位於基材夾盤18的腔穴19内以防止或盡量減少 胃於聚合材料5G的損害。有鑑於此,基材16之第一及第二 侧邊12及14的留存側邊可類似上文對於第8至12圖所描述 17 1310726 般地被圖案化,其中基材16具有第13圖所示被圖案化之第 一及第二側邊12及14。 參照第1及8圖,然而,若是基材16的第一及第二側邊 12及14兩者皆被圖案化,在步驟120,基材16可自基材夾盤 5 18卸載且機械臂68可將基材16定位在基材匣74中。另一實 施例中,流體配送器48可定位在系統10外側,其中基材16 的第一及第二侧邊12及14係具有在系統10外側定位於其上 之聚合流體50。尚且,可能希望自與機械臂68及/或基材夹 盤18接觸之基材16部分移除聚合材料5〇。 1〇 參照第14圖,描述系統1〇的第二實施例,被顯示為系 統110。系統110可類似於上文對於第丨至7圖所描述之系統 10,然而,系統110可進一步包含一額外的圖案化表面,如 下文進一步描述。 有鏗於此,系統110進一步包含一模板224,模板224係 15具有一自其延伸朝向模板24之模具226且其上具有一圖案 化表面228。模板224可耦合至一模板夾盤234。模板224、 模具226、及模板夾盤234可分別類似於上文對於第1圖所描 述之模板24、模具26、及模板夹盤34者。模具226可具有與 模具26的圖案化表面28大致相同的圖案化表面228 ;然而, 20另一實施例中,圖案化表面228可能不同於圖案化表面28。 模板224、模具226、及模板灸盤234可耗合至第二階台22, 其中第二階台22提供模板224、模具226、及模板夾盤234沿 第二軸線之動作,如上文對於第1圖所描述。結果,模具226 可定位為疊置於模具26以利基材16的第一及第二側邊12及 18 1310726 14之圖案化,如下文進一步描述。另一實施例中,模板224、 模具226、及模板夾盤234可進一步耦合至第一階台2〇。 系統110進一步包含一流體配送器248,其中流體配送 器248類似上文對於第1圖所述之流體配送器48。如圖所 5 示,流體配送器248係柄合至模板夾盤234 ;然而,另一實 施例中,流體配送器248可麵合至系統210的任何部分;亦 即’模板224或第二階台22。尚且,成像單元6015係顯示為 麵合至流體配送器248 ;然而’另一實施例中,成像單元6〇b 可輕合至系統110的任何部分,亦即,第二階台22、模板 10 224、或模板夾盤234。可藉由與流體配送器248呈資料導通 之處理器76來調節流體配送器248的控制。 參照第15及16圖’顯示一用於形成一圖案於基材16的 第一及第二側邊12及14上之方法及系統的第二實施例。如 上述,在步驟300,基材16可定位在基材夾盤18上。更確切 15言之,第一及第二階台20及22可將基材夾盤18定位為緊鄰 於機械臂68使得機械臂68可將基材16定位於基材夾盤18 上。機械臂68可自基材匣74轉移基材16且將基材16定位在 基材夾盤18上使得第一及第二侧邊12及14的一側邊可被定 位為與基材18者呈現相對。請注意為了顯示簡單起見,並 20未顯示處理器76及第一階台20、成像單元60b、及流體配送 器248之間的耦合。 在步驟302 ’成像單元60a及60b可決定基材16的一位 置。更確切言之,可採用成像單元60a及60b來決定基材16 相對於系統10的任何部分(亦即模具26及226、配送單元48 1310726 及248、或機械臂68)之一中心位置,如上文對於第5及6圖 所述。結果’可獲得基材16相對於系統1〇的任何部分之一 所需要空間關係,如下文進一步描述。 參照第15及17圖,在步驟304,第一及第二階台20及22 5可使基材16平移藉以可在基材16與流體配送器48之間獲得 一所需要位置。結果’流體配送器48可將聚合流體50定位 在基材16的第一側邊12上,如上述。 參照第15及18圖’在步驟306,可獲得基材16與26之間 的一所需要位置。更確切言之,第一及第二階台2〇及22及 10壓印頭36可定位基材夾盤18使得基材16可疊置於模具26且 使设置於基材16的第一側邊12上之進一步的聚合材料5〇充 填基材16與26之間所界定之所需要容積。在步驟3〇8,如上 述’設置於基材16的第一側邊12上之聚合材料50可被固體 化及/或交聯而符合基材16的第一側邊12及26的圖案化表 15面28。在步驟31〇,基材16可自基材夾盤18分離使得基材16 耦合至模具26。 參照第15及19圖,在步驟312,第一階台20或另一實施 例中之第一及第二階台2〇及22係可使流體配送器248平移 藉以可在基材16與流體配送器248之間獲得一所需要位 20置。結果,流體配送器248可將聚合流體50定位在基材16的 第二側邊14上,類似上文對於第17圖所示之基材16的第一 側邊12所描述者。 參fl?、苐15及20圖,在步驟314,可在基材16與模具226 之間獲仔一所需要位置。更確切言之,第二階台22或另一 1310726 實施例中之第一及第二階台2〇及22、及壓印頭26係可將模 具226定位成疊置於基材16而其中設置於基材16的第二側 邊14上之聚合材料50充填基材16與模具226之間所界定的 所需要容積。在步驟316,設置於基材16的第二側邊14上之 5聚合材料50可被固體化及/或交聯而符合基材16的第二側 邊14及226的圖案化表面228。另一實施例中,可省略上述 的步驟308,其中基材16大致對於上述光化性輻射呈透明使 得設置於基材16的第一及第二側邊12及14上之材料50可被 同時地固體化及/或交聯。 10 參照第15及21圖,在步驟318,模具226可自設置於基 材16的第二側邊14上之聚合材料50分離使得基材16仍保持 耦合至模具26。為了利於模具226自聚合材料50分離,模具 226可朝向基材16弓起而壓印頭36同時地提供模具26在一 遠離模具226的方向中之動作。 15 參照第15及22圖,第一及第二階台20及22及壓印頭36 可定位基材夾盤18使得基材夾盤18可疊置於基材16。在步 驟322,模具26可自設置於基材16的第一侧邊12上之聚合材 料50產生分離使得基材16可定位於基材夾盤18上。為了利 於模具26自聚合材料50之分離,模具26可朝向基材16弓起 20 而壓印頭36同時地提供模具26在一遠離基材16的方向中之 動作。設置於基材16的第二側邊14上之聚合材料50係可定 位在基材夾盤18的腔穴19内以防止或盡量減少對於聚合材 料50之損害。在步驟324,基材16可自基材夾盤18卸載且機 械臂68可將基材16定位在基材匣74中。 21 1310726 另—實施例中,流體配送器48及248可定位在系統ι1〇 的外側’其中基材16的第一及第二側邊12及14係具有在系 統110外側之定位於其上的聚合流體5〇。尚且,可能希望自 與機械臂68及/或基材夾盤18接觸之基材16的部分移除聚 5 合材料50。 參照第23圖,描述系統10的第三實施例,顯示為系統 21〇。系統210可類似上文對於第丨至圖所描述之系統1〇,然 而,系統210可進一步包含一額外圖案化表面及一銷8〇以固 持基材16,如下文進一步描述。 1〇 系統210進一步包含一具有自其延伸朝向模板24的一 模具326之模板324。模板324可耦合至一模板夾盤334。模 板324 '模具326、及模板夹盤334可分別類似上文對於第1 圖所描述的模板24、模具26、及模板夾盤34者。模具326可 具有大致與模具26的圖案化表面28相同之圖案化表面 15 328 ;然而,另一實施例中,圖案化表面328可不同於圖案 化表面28。另一實施例中,模板夾盤324可為一球形夾持單 元而在疊置於模具326之模板夾盤324的一區域上方具有位 於2微米至刚微米範圍中的一曲率。銷8〇可提供模板似及 模具326在第-軸線及第二軸線中之動作,如上文對於第丄 20圖所描述。進一步的銷8〇可提供沿著一正交於第一及第一 轴線的第三軸線、亦即沿著x轴線之動作。_範例中,聊 可提供近似50至200微米之沿轴線的動作及之公厘之沿 z軸線的動作。 中流體配送 系統210進一步包含一流體配送器348,並 22 1310726 器348類似上文對於第1圖描述之流體配送器48。流體配送 器348及成像單元60b顯示為耦合至基底23 ;然而,流體配 送器348及成像單元60b可耦合至系統210的任何部分。可藉 由與流體配送器348呈資料導通之處理器76來調節流體配 5 送器348的控制。 參照第24及25圖,顯示一用於形成一圖案於基材16的 苐一及弟二側邊12及14上之方法及系統。在步驟4〇〇,機械 臂68可以機械臂68固持住基材16而自基材[£74索取基材 16。在步驟402 ’機械臂68可定位基材16藉以可獲得基材16 10與流體配送器48及348之間的一所需要空間關係以將聚合 流體定位在基材16上。更確切言之,流體配送器48可將聚 合流體50定位在基材16的第一側邊12上且流體配送器348 可將聚合流體50定位在基材16的第二側邊14上。另一實施 例中,流體配送器48及348可被定位在系統210外側,其中 15基材16的第一及第二側邊12及14具有在系統210外側之設 置於其上的聚合流體50。在步驟404,可增加模具26與模具 326之間的一距離藉以可使基材16定位在模具26與模具326 之間。請注意為了圖示簡單起見’並未顯示處理器76及成 像單元60b、銷80、及流體配送器348之間的耦合。 20 參照第24及26圖’在步驟406,機械臂68可使基材16平 移且銷80可平移藉以可獲得基材16與銷8〇之間的一所需要 空間關係。結果,基材16可相對於銷80被定心。更確切言 之,通路25可疊置於銷80。然而,另一實施例中,可獲得 基材16與銷80之間任何的所需要空間關係。 23 1310726 參照第24及27圖,在步驟408,銷80可沿著巧由線平移 藉以使基材16可定位於銷80上。在步驟41〇,機械臂68可自 固持住基材16的狀態縮回。更綠切言之,機械臂68的臂% 可縮回以使第7圖所示的端點實行器73未耦合至基材16。在 5步驟412,成像單元6〇a可決定基材16的一位置。更確切古 之,可採用成像單元60a來決定基材16相對於系統1〇的任何 部分(亦即模具26、模具326 '或機械臂68)之一中心位置, 如上文對於第5及6圖所述《結果,可獲得基材16相對於系 統10的任何部分之一所需要空間關係,如下文進一步描述。 10 參照第24及28圖,在步驟414,可在基材16與模具326 之間獲得一所需要位置。更確切言之,銷80及夾盤334可定 位基材16及模具326藉以使基材16可疊置於模具326且使設 置於基材16的第二侧邊14上之進一步的聚合材料%充填基 材16與模具326之間的所需要容積。 15 參照第24及29圖,在步驟416,可在基材16與模具26之 間獲得一所需要位置。更確切言之,銷80及壓印頭36可定 位基材16及模具26藉以使基材16可疊置於模具26且使設置 於基材16的第一側邊12上之進一步的聚合材料50充填基材 W與模具26之間所界定之所需要容積。在步驟418,如上 20 述,設置於基材16的第一側邊12上之聚合材料5〇係可固體 化及/或交聯而符合基材16的第一側邊12及模具26的圖案 化表面28且設置於基材16的第二側邊14上之聚合材料50可 固體化及/或交聯而符合基材16的第二側邊14及模具326的 圖案化表面328。 24 1310726 參照第24及30圖,在步驟420,模具26可自設置於基材 16的第—側邊12上之聚合材料50分離。尚且’可能希望自 接觸於機械臂68及/或銷80之基材16部分來移除聚合材料 50。 5 參照第24及31圖,在步驟422,機械臂68可索取基材16 藉以使第7圖所示之臂7〇的端點實施例73固持住基材16。在 步驟424 ’模具326可自設置於基材16的第二側邊14上之聚 合材料50分離藉以使基材16耦合至機械臂68 ^在步驟426, 基材16可自基材夾盤18卸載且機械臂68可將基材16定位在 10 基材匣74中。 上述本發明的實施例係為示範性。可對於上述揭示作 出許多改變及修改,同時仍位於本發明的範圍内。因此, 本發明的範圍不應受限於上文描述,而是應參照申請專利 範圍及其均等物的完整範圍予以決定。 15 【圖式簡單說明】 第1圖為一具有與一基材分開的一模板之微影系統的 簡化側視圖’基板具有第一及第二相對側邊; 第2圖為第1圖所示之模板的俯視圖; 第3圖為第1圖之模板的側視圖; 20 第4圖為第2圖的一部分之分解圖,模板具有一對準標 記; 第5圖為第1圖所示之基材、及一用於偵測基材之光學 偵測系統的側視圖; 第6圖為第1圖所示之基材、及一用於债測基材之光學 25 1310726 偵測系統的俯視圖; 第7圖為一用以處置第1圖所示的基材之機械臂的俯視 圖, 第8圖為顯示第一實施例中如第1圖所示之一用以圖案 5 化基材的第一及第二相對側邊之方法之流程圖; 第9圖為第1圖所示的系統之側視圖,其中一機械臂具 有一用於將一基材夾盤上的基材定位在一第一位置中; 第10圖為第9圖所示的系統之側視圖,其中基材具有一 設置於其一第一側邊上之材料; 10 第11圖為第10圖所示的系統之侧視圖,其中模板係接 觸設置於基材的第一側邊上之流體; 第12圖為第11圖所示的系統之側視圖,其中機械臂將 基材夾盤上的基材定位在一第二位置中; 第13圖為第12圖所示的系統之側視圖,其中模板係接 15 觸一設置於基材的第二側邊上之流體; 第14圖為另一實施例中之一具有與一第二模板相對的 一第一模板及一基材之微影系統的側視圖,該基材具有第 一及第二相對側邊; 第15圖為顯示另一實施例中之一用於圖案化第14圖所 20 示之基材的第一及第二相對側邊之方法的流程圖; 第16圖為第14圖所示的系統之側視圖,其中一機械臂 將一基材夾盤上的基材定位在一第一位置中; 第17圖為第16圖所示的系統之側視圖,其中基材具有 一設置於其第一側邊上之材料; 26 1310726 第18圖為第17圖所示的系統之側視圖,其中第一模板 係接觸設置於基材的第一側邊上之流體; 第19圖為第18圖所示的系統之側視圖,其中基材被耦 合至第一模板且基材具有一設置於其第二側邊上之材料; 5 第20圖為第19圖所示的系統之側視圖,其中第二模板 係接觸設置於基材的第二側邊上之流體; 第21圖為第20圖所示的系統之側視圖,其中第二模板 係與基材分開; 第22為第21圖所示的系統之側視圖,其中基材被定位 10 在基材夾盤上而在其第一及第二側邊上形成有一圖案; 第23圖為另一實施例中之一具有與一第二模板相對的 一第一模板及一基材之微影系統的側視圖,基材具有第一 及第二相對侧邊; 第24圖為另一實施例中顯示一用於圖案化第23圖所示 15 的基材的第一及第二相對側邊之方法的流程圖; 第25圖為第23圖所示的系統之侧視圖,其中基材具有 一設置於其第一及第二側邊上之材料; 第26圖為第25圖所示的系統之側視圖,基材係與一銷 處於一所需要的空間性關係; 20 第27圖為第26圖所示的系統之側視圖,基材被定位在 銷上; 第28圖為第27圖所示的系統之側視圖,其中第二模板 係接觸設置於基材的第二側邊上之流體; 第29圖為第28圖所示的系統之侧視圖,其中第一模板 27 1310726 係接觸設置於基材的第一側邊上之流體; 第30圖為第29圖所示的系統之側視圖,其中第一模板 與基材分開;及 第31圖為第30圖所示的系統之側視圖,其中第一及第 5 二模板與基材分開。 【主要元件符號說明】
10,110,210 …系統 12…第一側邊 14…第二側邊 16…撕 18…基材夾盤 19···腔穴 20…第一階台 22…第二階台 23…基底 24,224,324…模板 25".通路 26…台面,模具 27,29…開孔 28,228,328…圖案化表面 30,44…凹部 32…突部 34,234,248,334…模板夾盤 36…壓印頭 38…第一區 40…第二區 42…第三區 46…對準標記 48,348…流體配送器 50…聚合材料 52…滴粒 54···供源 56…直接能量 58…觀呈 60a, 60b, 64a, 64a5, 64b, 64b 成像單元 62…基材的邊緣 66a,66b…雷射產生束 68…機械臂 70…臂 72…驅動部件 73…端點實行器 28 1310726 74…紐匣 76…處理器 78…記憶體 80…銷 100,102,104,106,108,110,112, 114,116,118,120 …步驟 226,326…模具 300,302,304,306,308,310,312, 314,316,318,320,322,324…步驟 400,402,404,406,408,410,412, 414, 416, 418, 420, 422, 424, 426…步驟 hr··高度
29

Claims (1)

1310726 5
10 15
20 十、申請專利範圍:1. -種用独-模具總案化—基材之方法,該基材具 有第一及第二相對側邊,該方法包含以下步驟: 、 獲得該基材與該模具總成之間的一第一空間關係 以使該基材的第-側邊疊置於職具總成,該模具總成 及該基材的第一側邊具有一設置於其間之材料; 以該模具總成形成一圖案於該基材的第一側邊上 之材料中,界定一第一圖案狀層; 獲得該基材與該模具總成之間的一不同於該第— 空間關係之第二空間關係以使該基材的第二側邊疊置 於該模具總成,其巾賴具總成及該基㈣第二側邊具 有一設置於其間之材料;及 、 以該模具總成形成一圖案於該基材的第二側邊上 之材料中,界定一第二圖案狀層。 2_如申請專利第1項之方法,其中該獲得該第二空間 關係之步驟係進一步包含一翻轉該基材之步驟。 3.如申請專利簡第丨項之方法,其中該獲得該第二空間 I係之步驟係進—步包含—將該基材相對於該模具總 成翻轉180度之步驟。 4·—種祕_彳卜紐之方法,該基材具有第-及第二 相對側邊,該方法包含以下步驟·· 將-材料定位在該基材的第一側邊上; 獲付-亥基材與-第_模具總成之間的一第一空間 關係以使該基材的第-側邊疊置於該第一模具總成; 以該第-模具總成形成—圖案於該基材的第一側 25 1310726 邊上之材料中,界定一第一圖案狀層; 將一材料定位於該基材的第二側邊上; 獲得該基材與該第二模具總成之間的一不同於該 第一空間關係之第二空間關係以使該基材的第二側邊 5 疊置於該第二模具總成,及; 以該第二模具總成形成一圖案於該基材的第二側 邊上之材料中,界定一第二圖案狀層。 5. 如申請專利範圍第4項之方法,其中該形成該圖案於該 基材的第一側邊上之材料中之步驟係進一步包含一將 10 該基材耦合至該第一模具總成以使該材料可定位在該 基材的第二側邊上之步驟。 6. —種用於圖案化一具有第一及第二相對側邊的基材之 系統,該系統包含: 一模具總成;及 15 一機械臂,其耦合至該基材以相對於該模具總成交 替地放置該基材於第一及第二位置中藉以使該模具總 成可接觸設置於該基材的第一相對側邊上之一材料,界 定一第一圖案狀層,及進一步接觸設置於該基材的第二 相對側邊上之一材料,界定一第二圖案狀層。 20 7.如申請專利範圍第6項之系統,進一步包括第一及第二 相對流體配送器,該第一流體配送器將該材料定位於該 基材的第一側邊上且該第二流體配送器將該材料定位 於該基材的第二側邊上。 8.如申請專利範圍第6項之系統,進一步包括一光學偵測 31 1310726 系統以決定該模具總成與該基材之間的一空間關係。 9. 如申請專利範圍第6項之系統,其中該機械臂進一步將 該基材相對於該模具總成翻轉180度。 10. —種用於圖案化一具有第一及第二相對側邊的基材之 5 系統,該系統包含: 一第一模具總成; 一第二模具總成,其設置為與該第一模具總成相 對;及 一平移階台,其相對於該第一及第二模具總成交替 10 地放置該基材於第一及第二位置中藉以在該第一位置 中使該第一總成接觸設置於該基材的第一側邊上之一 材料且在該第二位置中使該第二模具總成接觸設置於 該基材的第二側邊上之一材料。 11. 如申請專利範圍第10項之系統,進一步包括第一及第二 15 相對流體配送器,該第一流體配送器將該材料定位於該 基材的第一侧邊上且該第二流體配送器將該材料定位 於該基材的第二側邊上。 12. 如申請專利範圍第10項之系統,進一步包括一光學賴測 系統以決定該模具總成與該基材之間的一空間關係。 20 13.如申請專利範圍第10項之系統,其中該機械臂進一步將 該基材相對於該模具總成翻轉180度。 32
TW095145630A 2005-12-08 2006-12-07 Method and system for double-sided patterning of substrates TWI310726B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US74843005P 2005-12-08 2005-12-08

Publications (2)

Publication Number Publication Date
TW200730325A TW200730325A (en) 2007-08-16
TWI310726B true TWI310726B (en) 2009-06-11

Family

ID=38123403

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095145630A TWI310726B (en) 2005-12-08 2006-12-07 Method and system for double-sided patterning of substrates

Country Status (8)

Country Link
US (2) US7670529B2 (zh)
EP (1) EP1957249B1 (zh)
JP (1) JP4987012B2 (zh)
KR (1) KR101324549B1 (zh)
CN (2) CN101535021A (zh)
MY (1) MY144847A (zh)
TW (1) TWI310726B (zh)
WO (1) WO2007067488A2 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
JP5306989B2 (ja) * 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
EP1972996B1 (de) * 2007-03-21 2010-10-13 Erich Dipl.-Ing. Thallner Verfahren und Vorrichtung zur Erzeugung einer nanostrukturierten Scheibe
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8535766B2 (en) * 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
JP5327421B2 (ja) * 2008-03-14 2013-10-30 大日本印刷株式会社 インプリント用スタンパ
JP4815464B2 (ja) * 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
CN102396025B (zh) * 2009-04-13 2015-09-30 应用材料公司 使用激光、e束或聚焦离子束的hdd图案化设备
JP5328495B2 (ja) * 2009-06-04 2013-10-30 キヤノン株式会社 インプリント装置及び物品の製造方法
WO2011077882A1 (ja) * 2009-12-25 2011-06-30 株式会社日立ハイテクノロジーズ 両面インプリント装置
JP5469041B2 (ja) * 2010-03-08 2014-04-09 株式会社日立ハイテクノロジーズ 微細構造転写方法およびその装置
WO2012020741A1 (ja) * 2010-08-12 2012-02-16 株式会社日立ハイテクノロジーズ 光インプリント方法及び装置
JP2012109487A (ja) * 2010-11-19 2012-06-07 Hitachi High-Technologies Corp 両面インプリント装置
WO2013077952A1 (en) 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
JP5930832B2 (ja) 2012-04-27 2016-06-08 キヤノン株式会社 光硬化物の製造方法
CN104129816B (zh) * 2014-07-31 2016-03-30 襄阳龙蟒钛业有限公司 一种钛白浓缩酸除铁的方法
US10120276B2 (en) 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
WO2017180866A1 (en) * 2016-04-15 2017-10-19 Michaelis A John Maintaining alignment while turning over a panel
CN205702840U (zh) * 2016-05-12 2016-11-23 李俊豪 双面加工的激光机台
CN106206462A (zh) * 2016-09-12 2016-12-07 桂林电子科技大学 一种面向可延展电子的双面柔性结构性基底
US10622267B2 (en) * 2016-10-04 2020-04-14 Tokyo Electron Limited Facilitation of spin-coat planarization over feature topography during substrate fabrication
DE102016122355B4 (de) * 2016-11-21 2018-10-04 Manz Ag Bearbeitungsanlage und Verfahren zum Bearbeiten eines plattenförmigen Objekts
US10969680B2 (en) * 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
US20190139789A1 (en) 2017-11-06 2019-05-09 Canon Kabushiki Kaisha Apparatus for imprint lithography comprising a logic element configured to generate a fluid droplet pattern and a method of using such apparatus
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
WO2021016354A1 (en) * 2019-07-23 2021-01-28 University Of Massachusetts Thermal imprinting of nanostructure materials
CN111913349A (zh) * 2020-08-25 2020-11-10 青岛天仁微纳科技有限责任公司 纳米压印设备及压印方法
CN113934111B (zh) * 2021-11-09 2023-07-18 青岛天仁微纳科技有限责任公司 一种具有双面压印功能的纳米压印设备

Family Cites Families (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2000000A (en) * 1932-05-12 1935-04-30 Budd Edward G Mfg Co Vehicle wheel construction
GB1183056A (en) * 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) * 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
NL7710555A (nl) 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (de) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück Wasserfestes fotografisches papier und verfahren zu seiner herstellung
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
JPS58155542A (ja) * 1982-03-10 1983-09-16 Toshiba Corp 情報記録担体
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4637904A (en) * 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4506184A (en) * 1984-01-10 1985-03-19 Varian Associates, Inc. Deformable chuck driven by piezoelectric means
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
EP0234632B1 (en) 1986-02-13 1991-01-16 Koninklijke Philips Electronics N.V. Matrix for use in a replica process
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JP2823016B2 (ja) 1986-12-25 1998-11-11 ソニー株式会社 透過型スクリーンの製造方法
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JP2570402B2 (ja) * 1988-09-30 1997-01-08 日本ビクター株式会社 光学式情報記録媒体成型装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) * 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (fr) 1991-05-29 1993-12-24 Solems Procede, dispositif et appareil pour traiter un substrat par un plasma basse pression.
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
EP0938597B1 (en) 1996-09-06 2003-08-20 Obducat Aktiebolag Method for anisotropic etching of structures in conducting materials
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (ja) 1996-10-23 1998-05-15 Toshiba Corp 液晶表示素子
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
AU2864499A (en) 1998-03-05 1999-09-20 Etchtech Sweden Ab Method of etching
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6304424B1 (en) 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
FI109944B (fi) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelektroninen komponentti ja valmistusmenetelmä
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6142763A (en) * 1998-12-30 2000-11-07 International Thermoforming Systems, Inc. Thermal presses for forming articles from a web of thermoplastic material
US6521536B1 (en) * 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP2000231011A (ja) * 1999-02-09 2000-08-22 Sharp Corp 光学素子およびその製造に用いるスタンパ
US6741338B2 (en) 1999-02-10 2004-05-25 Litel Instruments In-situ source metrology instrument and method of use
US6198525B1 (en) * 1999-02-19 2001-03-06 International Business Machines Corporation System for contact imaging both sides of a substrate
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) * 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6512401B2 (en) * 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
WO2001040875A1 (en) 1999-11-30 2001-06-07 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
SE515607C2 (sv) 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
KR100675074B1 (ko) 1999-12-23 2007-01-29 유니버시티 오브 매사추세츠 막 상에 서브마이크론 패턴을 형성하기 위한 방법 및 장치
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6356337B1 (en) * 2000-03-08 2002-03-12 Anvik Corporation Two-sided substrate imaging using single-approach projection optics
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
SG142150A1 (en) 2000-07-16 2008-05-28 Univ Texas High-resolution overlay alignment systems for imprint lithography
KR100827741B1 (ko) 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7717696B2 (en) 2000-07-18 2010-05-18 Nanonex Corp. Apparatus for double-sided imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (ko) * 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
US7387508B2 (en) 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6879162B2 (en) * 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6612590B2 (en) 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (ja) 2001-05-22 2002-12-04 Sharp Corp 金属膜パターンおよびその製造方法
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
JP2003202584A (ja) 2002-01-08 2003-07-18 Toshiba Corp 液晶表示装置
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6736408B2 (en) 2002-01-25 2004-05-18 Applied Materials Inc. Rotary vacuum-chuck with venturi formed at base of rotating shaft
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
KR100975785B1 (ko) * 2002-03-15 2010-08-16 프린스턴 유니버시티 레이저 보조 직접 임프린트 리소그래피
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US7144539B2 (en) * 2002-04-04 2006-12-05 Obducat Ab Imprint method and device
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
EP1497102B1 (en) * 2002-04-24 2009-08-19 Obducat AB Device and method for transferring a pattern to a substrate
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
JP4639081B2 (ja) 2002-05-27 2011-02-23 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ スタンプから基板にパターンを転写する方法及び装置
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
SE522237C2 (sv) * 2002-06-07 2004-01-27 Obducat Ab Förfarande och anordning för överföring av ett mönster, centrering av ett substrat och ett tryckorgan samt användning av en konisk dorn
AU2003237507A1 (en) * 2002-06-13 2003-12-31 The Procter & Gamble Company Compositions comprising specific fabric softener actives
JP4266328B2 (ja) * 2002-06-17 2009-05-20 株式会社ナガセインテグレックス 転写装置
US7252492B2 (en) 2002-06-20 2007-08-07 Obducat Ab Devices and methods for aligning a stamp and a substrate
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
CN100454141C (zh) * 2002-08-27 2009-01-21 奥博杜卡特股份公司 用于将图案转印到物体的设备
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
JP4363837B2 (ja) * 2002-11-07 2009-11-11 大日本印刷株式会社 曲面への微細な凹凸の形成方法、及び光学部材
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
TW200500811A (en) * 2002-12-13 2005-01-01 Molecular Imprints Inc Magnification correction employing out-of-plane distortion of a substrate
JP4158514B2 (ja) * 2002-12-24 2008-10-01 ウシオ電機株式会社 両面投影露光装置
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7150622B2 (en) 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
JP2005045168A (ja) * 2003-07-25 2005-02-17 Tokyo Electron Ltd インプリント方法およびインプリント装置
US6879191B2 (en) * 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
JP4322096B2 (ja) 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7023238B1 (en) * 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
JP4455093B2 (ja) * 2004-02-20 2010-04-21 キヤノン株式会社 モールド、モールドを用いた加工装置及びモールドを用いた加工方法
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
CN101426957A (zh) 2004-05-28 2009-05-06 得克萨斯州大学系统董事会 基片支承系统和方法
WO2005119802A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050275311A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
WO2005120834A2 (en) 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
EP1825502A4 (en) 2004-12-01 2008-01-23 Molecular Imprints Inc EXPOSURE METHODS FOR THERMAL MANAGEMENT OF PRINTING LITHOGRAPHY METHODS
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US7363854B2 (en) 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7670534B2 (en) * 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE549294T1 (de) * 2005-12-09 2012-03-15 Obducat Ab Vorrichtung und verfahren zum transfer von mustern mit zwischenstempel
US7360851B1 (en) * 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
JP5306989B2 (ja) 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド 複数のフィールド及びアライメント・マークを有する基板を同時にパターニングする方法
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20080114681A (ko) 2006-04-03 2008-12-31 몰레큘러 임프린츠 인코퍼레이티드 리소그래피 임프린팅 시스템
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US20070231422A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features

Also Published As

Publication number Publication date
EP1957249B1 (en) 2014-11-12
EP1957249A2 (en) 2008-08-20
MY144847A (en) 2011-11-30
US7670529B2 (en) 2010-03-02
CN104317161A (zh) 2015-01-28
KR101324549B1 (ko) 2013-11-01
CN101535021A (zh) 2009-09-16
EP1957249A4 (en) 2012-07-25
JP2009518863A (ja) 2009-05-07
WO2007067488A3 (en) 2009-04-23
US20070132152A1 (en) 2007-06-14
KR20080080338A (ko) 2008-09-03
US8109754B2 (en) 2012-02-07
TW200730325A (en) 2007-08-16
US20100129486A1 (en) 2010-05-27
JP4987012B2 (ja) 2012-07-25
WO2007067488A2 (en) 2007-06-14

Similar Documents

Publication Publication Date Title
TWI310726B (en) Method and system for double-sided patterning of substrates
TWI413176B (zh) 用於邊緣場奈米壓印之對準技術
US8865046B2 (en) Imprinting of partial fields at the edge of the wafer
TWI426355B (zh) 壓印微影方法及裝置
TW201107120A (en) Pattern forming apparatus and pattern forming method
JP5395769B2 (ja) テンプレートチャック、インプリント装置、及びパターン形成方法
JP2008041852A (ja) インプリント方法及びインプリント装置
JP2000323461A (ja) 微細パターン形成装置、その製造方法、および形成方法
JP2012099790A (ja) インプリント装置、及び、物品の製造方法
TWI295749B (en) Alignment method of exposure mask and manufacturing method of thin film element substrate
US7401549B2 (en) Arrangement for transferring information/structures to wafers
KR20080008312A (ko) 마이크로 디바이스에 대한 접촉
TW200428156A (en) Lithographic apparatus, device manufacturing method and substrate holder
TWI355563B (en) Lithographic apparatus and device manufacturing me
JP5343378B2 (ja) ステンシルマスクおよびその製造方法
JP2005129791A (ja) マイクロコンタクトプリント方法及び装置
JP2020008841A (ja) 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
JPH09148225A (ja) 基板ホルダーおよびそれを用いた微細加工装置
US20180210340A1 (en) Film for application to three-dimensional sample, method for manufacturing same, and method for transferring fine pattern using same
JP2005005636A (ja) 半導体製造装置
JPH11251232A (ja) 基板および露光装置および素子製造方法
JP2023006689A (ja) 平坦化装置、および物品製造方法
JP4475754B2 (ja) 平行形成治具の組合体
TW200416849A (en) Aligning method and aligning device of proximity exposure
TW200414304A (en) A method of aligning a substrate, a computer program, a device manufacturing method and a device manufactured thereby

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees