KR20080080338A - 기판의 양면 패턴화를 위한 방법 및 시스템 - Google Patents

기판의 양면 패턴화를 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20080080338A
KR20080080338A KR1020087015448A KR20087015448A KR20080080338A KR 20080080338 A KR20080080338 A KR 20080080338A KR 1020087015448 A KR1020087015448 A KR 1020087015448A KR 20087015448 A KR20087015448 A KR 20087015448A KR 20080080338 A KR20080080338 A KR 20080080338A
Authority
KR
South Korea
Prior art keywords
substrate
mold assembly
mold
template
chuck
Prior art date
Application number
KR1020087015448A
Other languages
English (en)
Other versions
KR101324549B1 (ko
Inventor
병진 최
시들가타 브이. 스레니바산
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20080080338A publication Critical patent/KR20080080338A/ko
Application granted granted Critical
Publication of KR101324549B1 publication Critical patent/KR101324549B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)
  • Casting Or Compression Moulding Of Plastics Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판의 제 1 면 및 반대편 제 2 면을 패턴화하는 방법 및 시스템에 관한 것이다. 본 발명의 방법 및 시스템은 몰드 조립체를 사용하며, 기판의 제 1 면 및 반대편 제 2 면과 몰드 조립체 사이에 바람직한 공간적 관계를 획득할 수 있다. 다른 구체예에서, 본 발명의 방법 및 시스템은 제 1 및 제 2 몰드 조립체를 사용할 수 있다.
기판, 양면 패턴화, 몰드, 나노-제작, 리소그래피, 임프린트

Description

기판의 양면 패턴화를 위한 방법 및 시스템{METHOD AND SYSTEM FOR DOUBLE-SIDED PATTERNING OF SUBSTRATES}
관련 출원에 대한 상호 관련
본 출원은 2005년 12월 8일자 제출된 미국 가 출원 제60/748,430호, 제목 "양면 임프린팅을 위한 임프린팅, 정렬, 및 분리를 위한 장치 및 방법"에 대한 우선권을 주장하며, 이 전문은 참고자료로서 본원에 포함된다.
기술분야
본 발명의 분야는 일반적으로 구조의 나노-제작(nano-fabrication)에 관한 것이다. 더 구체적으로, 본 발명은 기판의 양면 패턴화(double-sided patterning)를 위한 방법 및 시스템에 관한 것이다.
나노-제작은, 예를 들어 나노미터 이하 정도의 피쳐(feature)를 갖는, 아주 소형 구조의 제작을 포함한다. 나노-제작이 상당한 충격을 주었던 한 분야가 직접회로의 프로세싱이다. 기판 위에 형성되는 단위 면적당 회로 수가 증가하면서 반도체 프로세싱 산업이 더 높은 생산율을 위해 노력을 계속함에 따라, 나노-제작은 더욱 더 중요하게 되고 있다. 나노-제작은 더 큰 공정 제어를 제공하는 동시에 형성된 구조의 최소 피쳐 치수를 더욱 감소시킬 수 있다. 나노-제작이 사용되고 있 는 다른 개발 분야는 생물공학, 광학기술, 기계 시스템 등을 포함한다.
전형적인 나노-제작 기술을 보통 임프린트 리소그래피(imprint lithography)라고 한다. 전형적인 임프린트 리소그래피 공정은 미국특허출원 제10/264,960호로서 제출된 미국특허출원 공보 제2004/0065976호, 제목 "최소의 치수 변화성을 갖는 피쳐를 복사하기 위하여 기판 위에 피처를 배열하기 위한 방법 및 몰드"; 미국특허출원 제10/264,926호로서 제출된 미국특허출원 공보 제2004/0065252호, 제목 "계측 표준의 제작을 용이하게 하기 위하여 기판 위에 층을 형성하는 방법"; 및 미국특허 제6,936,194호, 제목 "임프린트 리소그래피 공정을 위한 기능적 패턴화 재료" 등의 많은 공보에 설명되어 있으며, 이들은 모두 본 발명의 양수인에게 양도된 것이다.
전술한 미국특허출원 공보 및 미국특허에 각각 개시된 임프린트 리소그래피 기술은 중합성 층에 릴리프 패턴(relief pattern)을 형성하는 것과 이 릴리프 패턴에 상응하는 패턴을 아래쪽 기판에 전사하는 것을 포함한다. 모션 스테이지 위에 기판이 위치될 수 있으며, 이로써 바람직한 위치를 획득하여 패턴화를 용이하게 할 수 있다. 이를 위하여, 기판으로부터 이격되어 위치하는 템플릿이 사용되며, 템플릿과 기판 사이에는 형태화가 가능한 액체가 존재한다. 이 액체는 고화되어, 액체와 접촉하고 있는 템플릿의 표면 모양과 일치하는 패턴이 기록된 고화층을 형성한다. 다음에, 템플릿이 고화층으로부터 분리되어 템플릿과 기판이 서로 떨어진다. 다음에, 고화층에 있는 패턴에 상응하는 릴리프 이미지(relief image)를 기판으로 전사하기 위한 공정이 기판과 고화층에 행해진다.
어떤 용도에서는 기판의 제 1 면과 반대편 제 2 면 위에 릴리프 패턴을 형성 하는 것이 바람직할 수 있다. 기판의 제 1 면과 반대편 제 2 면 위에 패턴을 형성하는 것, 즉 양면 패턴화는 패턴드 미디어 임프린팅(patterned media imprinting) 영역에서 유리할 수 있다. 이를 위하여, 기판의 양면 패턴화 방법 및 시스템을 제공할 필요가 있다.
도 1은 제 1 면 및 반대편 제 2 면을 갖는 기판으로부터 이격되어 위치하는 템플릿을 갖는 리소그래프 시스템의 단순화한 측면도이다.
도 2는 도 1에 도시한 템플릿의 하향식 도면이다.
도 3은 도 1에 도시한 템플릿의 측면도이다.
도 4는 도 2의 일부분의 분해조립도로서, 템플릿이 정렬 마크를 가진다.
도 5는 도 1에 도시한 기판, 및 기판 탐지를 위한 광학 탐지 시스템의 측면도이다.
도 6은 도 1에 도시한 기판, 및 기판 탐지를 위한 광학 탐지 시스템의 하향식 도면이다.
도 7은 도 1에 도시한 기판을 조종하는 로봇의 하향식 도면이다.
도 8은, 제 1 구체예에서, 도 1에 도시한 기판의 제 1 면 및 반대편 제 2 면을 패턴화하는 방법을 나타내는 순서도이다.
도 9는 도 1에 도시한 시스템의 측면도로서, 로봇이 제 1 위치에서 기판 척 위에 기판을 위치시킨다.
도 10은 도 9에 도시한 시스템의 측면도로서, 기판의 제 1 면 위에 어떤 재 료가 위치한다.
도 11은 도 10에 도시된 시스템의 측면도로서, 템플릿이 기판의 제 1 면 위에 위치한 유체와 접촉하고 있다.
도 12는 도 11에 도시한 시스템의 측면도로서, 로봇이 제 2 위치에서 기판 척 위에 기판을 위치시킨다.
도 13은 도 12에 도시한 시스템의 측면도로서, 템플릿이 기판의 제 2 면 위에 위치한 유체와 접촉하고 있다.
도 14는, 다른 구체예로서, 서로 마주하고 있는 제 1 템플릿과 제 2 템플릿 및 기판을 갖는 리소그래피 시스템의 측면도로서, 기판은 제 1 면 및 반대편 제 2 면을 가진다.
도 15는, 다른 구체예로서, 도 14에 도시한 기판의 제 1 면 및 반대편 제 2 면을 패턴화하는 방법을 나타내는 순서도이다.
도 16은 도 14에 도시한 시스템의 측면도로서, 로봇이 제 1 위치에서 기판 척 위에 기판을 위치시킨다.
도 17은 도 16에 도시한 시스템의 측면도로서, 기판의 제 1 면 위에 어떤 재료가 위치한다.
도 18은 도 17에 도시한 시스템의 측면도로서, 제 1 템플릿이 기판의 제 1 면 위에 위치한 유체와 접촉하고 있다.
도 19는 도 18에 도시한 시스템의 측면도로서, 기판이 제 1 템플릿과 결합하고 있고, 기판의 제 2 면 위에 어떤 재료가 위치한다.
도 20은 도 19에 도시한 시스템의 측면도로서, 제 2 템플릿이 기판의 제 2 면 위에 위치한 유체와 접촉하고 있다.
도 21은 도 20에 도시한 시스템의 측면도로서, 제 2 템플릿이 기판으로부터 이격되어 위치하고 있다.
도 22는 도 21에 도시한 시스템의 측면도로서, 기판이 기판의 제 1 면 및 제 2 면 위에 형성된 패턴을 갖는 기판 척 위에 위치된다.
도 23은, 다른 구체예로서, 서로 마주하고 있는 제 1 템플릿과 제 2 템플릿 및 기판을 갖는 리소그래피 시스템의 측면도로서, 기판은 제 1 면 및 반대편 제 2 면을 가진다.
도 24는, 다른 구체예로서, 도 23에 도시한 기판의 제 1 면 및 반대편 제 2 면을 패턴화하는 방법을 나타내는 순서도이다.
도 25는 도 23에 도시한 시스템의 측면도로서, 기판의 제 1 면과 제 2 면 위에 어떤 재료가 위치한다.
도 26은 도 25에 도시한 시스템의 측면도로서, 기판과 핀이 바람직한 공간적 관계에 놓여 있다.
도 27은 도 26에 도시한 시스템의 측면도로서, 기판이 핀 위에 위치되어 있다.
도 28은 도 27에 도시한 시스템의 측면도로서, 제 2 템플릿이 기판의 제 2 면 위에 위치한 유체와 접촉하고 있다.
도 29는 도 28에 도시한 시스템의 측면도로서, 제 1 템플릿이 기판의 제 1 면 위에 위치한 유체와 접촉하고 있다.
도 30은 도 29에 도시한 시스템의 측면도로서, 제 1 템플릿이 기판으로부터 이격되어 위치하고 있다.
도 31은 도 30에 도시한 시스템의 측면도로서, 제 1 템플릿과 제 2 템플릿이 기판으로부터 이격되어 위치하고 있다.
도 1에 관하여, 기판(16)의 제 1 면(12)과 제 2 면(14)에 릴리프 패턴을 형성하기 위한 시스템(10)이 도시된다. 예로서, 기판(16)에는 정렬 마크가 실질적으로 부재할 수 있다. 기판(16)은 기판 척(18)과 결합될 수 있으며, 기판 척(18)은 진공 및 전자기를 포함하는 어떤 척이지만, 이것들에 제한되지는 않는다. 기판 척 (18)은 기판(16)에 면하는 공동(19)을 더 포함할 수 있다. 기판(16)과 기판 척(18)은 제 1 스테이지(20)와 제 2 스테이지(22) 위에서 지지될 수 있으며, 제 1 스테이지(20)는 기판 척(18)과 제 2 스테이지(22) 사이에 위치된다. 또한, 제 1 및 제 2 스테이지(20 및 22)는 베이스(23) 위에 위치될 수 있다. 제 1 스테이지(20)는 제 1 축에 대한 동작을 제공하고, 제 2 스테이지(22)는 제 2 축에 대한 동작을 제공할 수 있으며, 제 2 축은 제 1 축에 직교하는데, 즉 제 1 축과 제 2 축은 x 및 y 축이다. 본 발명에서 전형적인 스테이지는 Newport Corporation(캘리포니아 어빈) 사의 품목번호 XM2000을 이용할 수 있다. 기판(16)은 기판(16)의 제 1 면(12)에 구멍(27)이 있고 기판(16)의 제 2 면(14)에 구멍(29)이 있는 통로(25)를 더 포함한다. 그러나, 다른 구체예에서, 기판(16)에는 통로(25)가 실질적으로 부재할 수 있다.
템플릿(24)이 기판(16)으로부터 이격되어 위치하고 있으며, 메사(26)가 템플릿으로부터 기판(16) 쪽으로 나와 있고, 메사 위에는 패턴화 표면(28)이 있다. 메사(26)는 또한 몰드(26)라고도 할 수 있다. 그러나, 다른 구체예에서, 템플릿(24)에는 몰드(26)가 실질적으로 부재할 수 있다. 템플릿(24) 및/또는 몰드(26)는 용융-실리카, 석영, 규소, 유기 중합체, 실록산 중합체, 붕규산 유리, 플루오로카본 중합체, 금속, 및 고강도 사파이어를 포함하는 재료와 같은 것으로부터 형성될 수 있지만, 이것들에 제한되지는 않는다. 나타낸 대로, 패턴화 표면(28)은 복수 개의 이격되어 위치하는 홈(30)과 돌출부(32)에 의해 한정되는 피쳐를 포함한다. 그러나, 다른 구체예에서, 패턴화 표면(28)은 실질적으로 매끄러울 수도 있고 및/또는 평면일 수도 있다. 패턴화 표면(28)은 기판(16)의 제 1 면 및 제 2 면(12 및 14) 위에 형성될 패턴의 기초를 형성하는 원 패턴을 한정할 수 있으며, 이것은 아래에 더 설명된다. 템플릿(24)은 템플릿 척(34)과 결합될 수 있으며, 템플릿 척(34)은 진공 및 전자기를 포함하는 어떤 척이지만, 이것들에 제한되지는 않는다. 템플릿 척(34)은 또한 템플릿(24)과 몰드(26)의 움직임을 용이하게 하기 위해 임프린트 헤드(36)에 결합될 수 있다.
도 2 및 도 3에 관하여, 템플릿(24)의 하향식 도면이 예시된다. 나타낸 대로, 템플릿(24)은 원형 모양을 포함한다. 그러나, 다른 구체예에서, 템플릿(24)은 바람직한 어떤 기하 모양을 포함할 수 있다. 또한, 템플릿(24)은 제 1 영역(38), 제 2 영역(40), 및 제 3 영역(42)을 포함할 수 있으며, 제 2 영역(40)은 제 1 영역 (38)과 제 3 영역(40) 사이에 위치한다. 제 2 영역(40)은 활성 영역(40)이라고 할 수 있다. 더욱이, 나타낸 대로, 제 3 영역(42)은 템플릿(24)의 중앙에 위치할 수 있다; 그러나, 다른 구체예에서, 제 3 영역(42)은 템플릿(24)의 바람직한 어떤 위치에도 위치할 수 있다. 도 1에 나타낸 몰드(26)는 활성 영역(40)과 정합되어 놓일 수 있다. 활성 영역(40)과 제 3 영역(42)은 높이 h1을 가질 수 있다. 예로서, 높이 h1은 5-15 마이크론 범위일 수 있다. 다른 구체예에서, 활성 영역(40)과 제 3 영역(42)의 높이는 다를 수 있다. 더욱이, 활성 영역(40)과 제 3 영역(42) 사이에는 홈(44)이 위치할 수 있다.
도 2 및 도 4에 관하여, 제 3 영역(42)은 정렬 마크(46)를 포함할 수 있다. 예로서, 정렬 마크(46)는 표준 보편 정렬 타겟(UAT)일 수 있다. 도 1에 나타낸 대로, 템플릿(24)과 기판(16) 사이의 바람직한 공간적 관계를 획득하기 위해서 정렬 마크(46)가 사용될 수 있다.
도 1에 관하여, 시스템(10)은 유체 디스펜서(48)를 더 포함한다. 유체 디스펜서(48)는 기판(16) 위에 폴리머 재료(50)를 위치시키기 위하여 기판(16)과 유체 연통하고 있을 수 있으며, 이것은 아래에 더 설명된다. 나타낸 대로, 유체 디스펜서(48)는 템플릿 척(34)과 결합된다; 그러나, 다른 구체예에서, 유체 디스펜서(48)는 시스템(10)의 어떤 부품과도, 즉 템플릿(24) 또는 임프린트 헤드(36)와도 결합될 수 있다. 또한, 시스템(10)은 다수의 유체 디스펜서를 포함할 수 있으며, 유체 디스펜서(48)는 그 안에 복수 개의 디스펜싱 장치를 포함할 수 있다. 어떤 공지의 기술, 예를 들어 드롭 디스펜스, 스핀-코팅, 딥-코팅, 박막 부착, 두꺼운 막 부착 기술 등을 이용하여 기판 위에 폴리머 재료(50)를 위치시킬 수 있다. 나타낸 대로, 폴리머 재료(50)는 복수 개의 이격되어 위치하는 점적(52)으로서 기판(16) 위에 위치될 수 있다.
시스템(10)은 진로(58)를 따라 에너지(56)를 내보내도록 결합된 에너지(56)의 공급원(54)을 더 포함한다. 예로서, 공급원(54)은 액상 가이드 또는 자외선 섬유 가이드에 연결된 자외선 방출 램프일 수 있다. 본 발명에서 전형적인 에너지 공급원은 DYMAX Corporation(코네티컷 토링톤) 사의 품목번호 BlueWave™ 200 스폿 램프를 이용할 수 있다. 임프린트 헤드(36)와 제 1 및 제 2 스테이지(20 및 22)는 각각 몰드(26)와 기판(16)이 정합되어 진로(58) 안에 배치되어 정렬되도록 배열된다. 임프린트 헤드(36), 제 1 스테이지(20) 및 제 2 스테이지(22), 또는 이것들의 조합에서 몰드(26)와 기판(16) 사이의 거리를 변화시켜 이들 사이에 바람직한 부피를 한정할 수 있으며, 이 부피가 폴리머 재료(50)로 충전되는데, 이것은 아래에 더 설명된다.
더 나아가, 시스템(10)은 영상 장치(60a 및 60b)를 갖는 광학 탐지 시스템을 포함한다. 나타낸 대로, 영상 장치(60a)는 유체 디스펜서(48)와 결합될 수 있다; 그러나, 다른 구체예에서, 영상 장치(60a)는 시스템(10)의 어떤 부품과도, 즉 템플릿(24), 템플릿 척(34), 또는 임프린트 헤드(36)와도 결합될 수 있다. 또한, 나타낸 대로, 영상 장치(60b)는 제 2 스테이지(22)와 결합된다; 그러나, 다른 구체예에서, 영상 장치(60b)는 시스템(10)의 어떤 부품과도, 즉 기판 척(18) 또는 제 1 스테이지(20)와도 결합될 수 있다. 더욱이, 시스템(10)은 다수의 영상 장치(60a 및 60b)를 포함할 수 있다. 영상 장치(60a 및 60b)는 이미지 프로세싱 모듈(나타내지 않음)과 데이터 통신하는 현미경일 수 있다. 다른 구체예에서, 영상 장치(60a 및 60b)는 레이저 엣지 탐지센서(laser edge detecting sensor)일 수 있다.
도 1, 도 5, 및 도 6에 관하여, 영상 장치(60a 및 60b)는 각각 기판(16) 및 몰드(26)를 탐지하는데 사용될 수 있다. 더 구체적으로, 영상 장치는 기판(16)의 가장자리(62)를 탐지할 수 있다. 다른 구체예에서, 영상 장치(60a)(도 5 및 6에서는 영상 장치를 부재번호 64a, 64a', 64b 및 64b'로 나타낸다)는 기판(16)의 중심 위치, 즉 x 축과 y 축에 대한 통로(25)를 결정하는데 사용될 수 있다. 더 구체적으로, 영상 장치(64a 및 64b)는 각각 빔(66a 및 66b)을 만들어 내보내는 레이저일 수 있고, 영상 장치(64a' 및 64b')는 각각 빔(66a 및 66b)을 탐지하는 강도센서일 수 있다. 나타낸 대로, 영상 장치(64a, 64a', 64b 및 64b')는 구멍(25)을 탐지할 수 있다. 영상 장치(64a 및 64b)는 축을 지나거나(off-axis) 또는 템플릿을 통과하는(thru-the-template) 식으로 사용될 수 있다. 본 발명에서 사용되는 전형적인 강도센서는 Keyence, Inc.(뉴저지 우드클리프 레이크) 사의 품목번호 LV-H37를 이용할 수 있다.
도 1 및 도 7에 관하여, 시스템(10)은 기판 척(18) 위에 기판(16)을 위치시키고 기판 척(18)으로부터 기판(16)을 제거하기 위한 로봇(68)을 더 포함한다. 로봇(68)은 본 분야에 공지된 어떤 조종용 로봇일 수 있다. 예로서, 로봇(68)은 구동수단(72)에 연결된 암(70)을 포함한다. 암(70)에는 또한 단부 작동기(73)가 결합되어 기판(16)을 조종할 수 있게 한다. 예로서, 단부 작동기(73)는 엣지-그립핑 (edge-gripping) 또는 얇은 에어 캐비티(air cavity) 척일 수 있으며, 이것은 폴리머 재료(50)가 위에 위치해 있는 기판(16)의 영역, 즉 기판(16)의 활성 영역과 접촉하지 않고 기판(16)을 고정할 수 있다. 구동수단(72)은 암(70)을 뻗었다 오므렸다 할 수도 있고, 암(70)을 그것의 축 주위를 회전시킬 수도 있고, 암(70)을 수평으로 원 운동시킬 수도 있고, 또는 암(70)의 어떤 바람직한 동작을 제공할 수도 있다. 예로서, 구동수단(72)은 x 축에 대해 회전하여 기판(16)을 뒤집을 수 있는데, 이것을 아래에 설명한다. 또한, 구동수단(72)은 그것의 축에 대해 회전할 수도 있다. 더욱이, 로봇(68)은 기판 척(18)과 기판 카세트(74) 사이에서 기판(16)을 이송할 수 있다. 기판 카세트(74)는 그 안에 복수 개의 기판(16)을 포함할 수 있다.
도 1에 관하여, 전형적으로 몰드(26)와 기판(16) 사이에 바람직한 부피가 한정되기 전에 폴리머 재료(50)가 기판(16) 위에 위치될 수 있다. 그러나, 바람직한 부피가 획득된 후에 폴리머 재료(50)로 이 부피가 충전될 수도 있다. 바람직한 부피를 폴리머 재료(50)로 충전한 후, 공급원(54)이 에너지(56), 예를 들어 광폭 자외선을 만들어 내보낼 수 있으며, 이 에너지가 기판(16)의 제 1 면(12)의 모양 및 몰드(26)의 패턴화 표면(28)에 일치하도록 폴리머 재료(50)를 고화 및/또는 가교결합시킨다. 공정의 제어는 제 1 및 제 2 스테이지(20 및 22), 임프린트 헤드(36), 유체 디스펜서(48), 공급원(54), 영상 장치(60a 및 60b), 및 로봇(68)과 데이터 통신하는 프로세서(76)에 의해서 조절되며, 이 프로세서는 메모리(78)에 저장된 컴퓨터 판독 프로그램에 의해 운영된다.
상기 언급한 대로, 시스템(10)은 기판(16)의 제 1 면(12) 위에 패턴을 형성하는데 사용될 수 있다. 그러나, 기판(16)의 제 2 면(14)에 패턴을 형성하는데도 바람직할 수 있으며, 이로써 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 모두 패턴이 형성될 수 있다. 이를 위하여, 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 패턴을 형성하는 시스템 및 방법이 아래에 설명된다.
도 8 및 도 9에 관하여, 제 1 구체예에서, 기판(16)의 제 1 면(12) 및 제 2 면(14) 위에 패턴을 형성하는 방법 및 시스템을 나타낸다. 상기 언급한 대로, 단계(100)에서 기판(16)이 기판 척(18) 위에 위치될 수 있다. 더 구체적으로, 제 1 및 제 2 스테이지(20 및 22)가 로봇(68) 근처에 기판(18)을 위치시킬 수 있고, 이로써 로봇(68)이 기판(16)을 기판 척(18) 위에 위치시킬 수 있다. 제 1 면(12) 및 제 2 면(14) 중 한 면이 기판 척(18)의 면과 반대쪽에 위치될 수 있도록 로봇(68)이 기판 카세트(74)로부터 기판(16)을 이송하여 기판(16)을 기판 척(18) 위에 위치시킬 수 있다. 제 1 예에서, 로봇(68)은 기판(16)의 제 1 면(12)이 기판 척(18)과 떨어져 면하고 제 2 면(14)이 기판 척(18)을 향해서 면하도록 기판(16)을 위치시킬 수 있다. 제 2 예에서, 로봇(68)은 기판(16)의 제 2 면(14)이 기판 척(18)과 떨어져 면하고 제 1 면(12)이 기판 척(18)을 향해서 면하도록 기판(16)을 위치시킬 수 있다. 단계(102)에서 영상 장치(60a)가 기판(16)의 위치를 결정할 수 있다. 더 구체적으로, 도 5 및 6과 관련하여 상기 언급한 대로, 영상 장치(60a)는 시스템(10)의 어떤 부품, 즉 몰드(18), 디스펜싱 장치(48) 또는 로봇(68)에 대하여 기판(16)의 중심 위치를 결정하기 위해 사용될 수 있다. 그 결과, 시스템(10)의 어떤 부품과 관련하여 기판(16)의 바람직한 공간적 관계가 획득될 수 있다.
도 8 및 도 10에 관하여, 단계(104)에서 제 1 및 제 2 스테이지(20 및 22)가 기판(16)과 유체 디스펜서(48) 사이에 바람직한 위치가 획득되도록 기판(16)을 이동시킬 수 있다. 그 결과, 상기 언급한 대로, 유체 디스펜서(48)가 기판(16)의 제 1 면(12) 위에 폴리머 유체(50)를 위치시킬 수 있게 된다.
도 8 및 도 11에 관하여, 단계(106)에서 기판(16)과 몰드(26) 사이의 바람직한 위치가 획득될 수 있다. 더 구체적으로, 제 1 및 제 2 스테이지(20 및 22)와 임프린트 헤드(36)가 기판(16)과 몰드(26)가 정합되어 놓이도록 기판 척(18)을 위치시킬 수 있으며, 또한 폴리머 재료(50)로 기판(16)과 몰드(26) 사이에 한정된 바람직한 부피가 충전된다. 단계(108)에서, 상기 언급한 대로, 기판(16)의 제 1 면(12) 위에 위치된 폴리머 재료(50)가 기판(16)의 제 1 면(12) 및 몰드(26)의 패턴화 표면(28)에 일치하도록 고화 및/또는 가교결합될 수 있다. 단계(110)에서 몰드(18)가 기판(16)의 제 1 면(12) 위에 위치된 폴리머 재료(50)로부터 분리될 수 있다.
도 8 및 도 12에 관하여, 단계(100)과 관련하여 상기 언급한 것과 유사하게, 단계(112)에서 제 1 및 제 2 스테이지(20 및 22)가 로봇(68) 근처에 기판 척(18)을 위치시킬 수 있다. 단계(114)에서 로봇(68)이 로봇(68)에 의해 기판 척(18)으로부터 기판(16)을 분리할 수 있다. 단계(116)에서 기판(16)의 제 1 및 제 2 면(12 및 14)이 패턴화되었는지를 결정하기 위해 기판(16)이 분석될 수 있다. 이를 위하여, 단계(118)에서, 기판(16)의 제 1 면(12) 및 제 2 면(14) 중 단지 한 면만이 패턴화되었다면, 로봇(68)은 그것의 축 주위로 암(70)을 회전시켜 기판(16)을 몰드(18)에 대해 180°뒤집을 수 있으며, 또한 기판(16)의 제 1 면(12) 및 제 2 면(14) 중 나머지 패턴화되지 않은 면이 기판 척(18)의 면과 반대쪽에 위치되도록 기판 척(18) 위에 기판(16)을 위치시킬 수 있다. 제 1 예에서, 기판(16)의 제 1 면(12)이 패턴화되었다면, 로봇(68)은 제 1 면(12)이 기판 척(18)을 향해 면하고 제 2 면(14)이 기판 척(18)과 떨어져 면하도록 기판(16)을 위치시켰을 것이다. 제 2 예에서, 기판(16)의 제 2 면(14)이 패턴화되었다면, 로봇(68)은 제 2 면(14)이 기판 척(18)을 향해 면하고 제 1 면(12)이 기판 척(18)과 떨어져 면하도록 기판(16)을 위치시켰을 것이다. 더욱이, 기판(16)의 제 1 면(12) 및 제 2 면(14) 중 한 면 위에서 패턴화되는 폴리머 재료(50)는 예방할 수 없는 경우의 폴리머 재료(50)에 대한 손상을 최소화하기 위하여 기판 척(18)의 공동(19) 안에 위치될 수 있다. 이를 위하여, 기판(16)의 제 1 면(12) 및 제 2 면(14) 중 나머지 면은 도 8-12에서 상기 언급한 것과 유사하게 패턴화될 수 있으며, 기판(16)의 제 1 면(12)과 제 2 면(14)의 패턴화를 도 13에 도시한다.
그렇지만, 도 1 및 도 8에 관하여, 기판(16)의 제 1 면(12)과 제 2 면(14)이 모두 패턴화되었다면, 단계(120)에서 기판(16)이 기판 척(18)으로부터 제거되고 로봇(68)이 기판 카세트(74)에 기판(16)을 위치시킬 수 있다. 다른 구체예에서, 유체 디스펜서(48)는 시스템(10)의 외부에 위치될 수 있으며, 이 경우 시스템(10) 외부에서 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 폴리머 유체(50)가 위치된다. 더욱이, 로봇(68) 및/또는 기판 척(18)과 접촉하는 기판(16) 부분으로부터도 폴리머 재료(50)를 제거하는 것이 바람직할 수 있다.
도 14에 관하여, 시스템(10)의 제 2 구체예가 설명되며, 이것은 시스템(110)으로 나타낸다. 시스템(110)은 도 1-7과 관련하여 상기 설명된 시스템(10)과 유사할 수 있지만, 시스템(110)은 추가의 패턴화 표면을 더 포함할 수 있으며, 이것은 아래에 더 설명된다.
이를 위하여, 시스템(110)은 템플릿(24)을 향해 나와 있는 몰드(226)를 갖는 템플릿(224)을 더 포함하며, 이 몰드는 패턴화 표면(228)을 가진다. 템플릿(224)은 템플릿 척(234)과 결합될 수 있다. 템플릿(224), 몰드(226) 및 템플릿 척(224)은 도 1과 관련하여 상기 설명된 템플릿(24), 몰드(26), 및 템플릿 척(34)과 유사할 수 있다. 몰드(226)는 몰드(26)의 패턴화 표면(28)과 실질적으로 동일한 패턴화 표면을 가질 수 있다; 그러나, 다른 구체예에서, 패턴화 표면(228)은 패턴화 표면(28)과 다를 수 있다. 템플릿(224), 몰드(226), 및 템플릿 척(234)은 제 2 스테이지(22)에 결합될 수 있으며, 도 1과 관련하여 상기 언급한 대로, 제 2 스테이지 (22)는 제 2 축에 대한 템플릿(224), 몰드(226), 및 템플릿 척(234)의 동작을 제공한다. 그 결과, 몰드(226)가 몰드(26)와 정합되어 위치될 수 있으며, 이로써 기판 (16)의 제 1 면 및 제 2 면(12 및 14)의 패턴화가 용이하게 되는데, 이것은 아래에 더 설명된다. 다른 구체예에서, 템플릿(224), 몰드(226), 및 템플릿 척(234)은 제 1 스테이지(20)와도 결합될 수 있다.
시스템(110)은 유체 디스펜서(248)를 더 포함하며, 유체 디스펜서(248)는 도 1과 관련하여 상기 언급한 유체 디스펜서(48)와 유사하다. 나타낸 대로, 유체 디스펜서(248)는 템플릿 척(234)과 결합된다; 그러나, 다른 구체예에서, 유체 디스펜서(248)는 시스템(210)의 어떤 부품과도, 즉 템플릿(224) 또는 제 2 스테이지(22)와도 결합될 수 있다. 또한, 영상 장치(60b)가 유체 디스펜서(248)과 결합된 것이 도시된다; 그러나, 다른 구체예에서, 영상 장치(60b)는 시스템(110)의 어떤 부품과도, 즉 제 2 스테이지(22), 템플릿(224) 또는 템플릿 척(234)과도 결합될 수 있다. 유체 디스펜서(248)의 제어는 유체 디스펜서(248)와 데이터 통신하는 프로세서(76)에 의해 조절될 수 있다.
도 15 및 도 16에 관하여, 기판(16)의 제 1 면(12) 및 제 2 면(14)에 패턴을 형성하는 방법 및 시스템의 제 2 구체예가 도시된다. 상기 언급한 대로, 단계(300)에서 기판(16)이 기판 척(18) 위에 위치될 수 있다. 더 구체적으로, 제 1 및 제 2 스테이지(20 및 22)가 로봇(68) 근처에 기판 척(18)을 위치시킬 수 있으며, 이로써 로봇(68)이 기판 척(18) 위에 기판(16)을 위치시킬 수 있다. 로봇(68)은 기판 카세트(74)로부터 기판(16)을 이송하여, 기판(16)의 제 1 면(12) 및 제 2 면(14) 중 한 면이 기판 척(18)의 면과 반대쪽에 위치되도록 기판 척(18) 위에 기판(16)을 위치시킬 수 있다. 그림을 단순화하기 위해서, 프로세서(76)와 제 1 스테이지(20), 영상 장치(60b) 및 유체 디스펜서(248) 사이의 결합은 나타내지 않는다.
단계(302)에서 영상 장치(60a 및 60b)가 기판(16)의 위치를 결정할 수 있다. 더 구체적으로, 도 5 및 6과 관련하여 상기 언급한 대로, 영상 장치(60a 및 60b)는 시스템(10)의 어떤 부품, 즉 몰드(26 및 226), 디스펜싱 장치(48 및 248), 또는 로봇(68)에 대하여 기판(16)의 중심 위치를 결정하기 위해 사용될 수 있다. 그 결과, 시스템(10)의 어떤 부품과 관련하여 기판(16)의 바람직한 공간적 관계가 획득될 수 있으며, 이것은 아래에 더 설명된다.
도 15 및 도 17에 관하여, 단계(304)에서 제 1 및 제 2 스테이지(20 및 22)가 기판(16)과 유체 디스펜서(48) 사이에 바람직한 위치가 획득되도록 기판(16)을 이동시킬 수 있다. 그 결과, 상기 언급한 대로, 유체 디스펜서(48)가 기판(16)의 제 1 면(12) 위에 폴리머 재료(50)를 위치시킬 수 있게 된다.
도 15 및 도 18에 관하여, 단계(306)에서 기판(16)과 몰드(26) 사이의 바람직한 위치가 획득될 수 있다. 더 구체적으로, 임프린트 헤드(36)와 제 1 및 제 2 스테이지(20 및 22)가 기판(16)과 몰드(26)가 정합되어 놓이도록 기판 척(18)을 위치시킬 수 있으며, 또한 기판(16)의 제 1 면(12) 위에 위치한 폴리머 재료(50)로 기판(16)과 몰드(26) 사이에 한정된 바람직한 부피가 충전된다. 상기 언급된 대로, 단계(308)에서 기판(16)의 제 1 면(12) 위에 위치된 폴리머 재료(50)는 기판(16)의 제 1 면(12)과 몰드(26)의 패턴화 표면(28)에 일치하도록 고화 및/또는 가교결합될 수 있다. 단계(310)에서 기판(16)이 기판 척(18)과 분리되고 기판(16)이 몰드(26)와 결합될 수 있다.
도 15 및 도 19에 관하여, 단계(312)에서, 제 1 스테이지(20)가, 또는 다른 구체예에서는, 제 1 및 제 2 스테이지(20 및 22)가 기판(16)과 유체 디스펜서(248) 사이에 바람직한 위치가 획득되도록 유체 디스펜서(248)를 이동시킬 수 있다. 그 결과, 도 17에서 도시된 기판(16)의 제 1 면(12)에 관련하여 상기 언급한 것과 유사하게, 유체 디스펜서(248)가 기판(16)의 제 2 면(14) 위에 폴리머 유체(50)를 위치시킬 수 있게 된다.
도 15 및 도 20에 관하여, 단계(314)에서 기판(16)과 몰드(226) 사이에 바람직한 위치가 획득될 수 있다. 더 구체적으로, 제 2 스테이지(22), 다른 구체예에서는, 제 1 및 제 2 스테이지(20 및 22)와 임프린트 헤드(26)가, 몰드(226)와 기판 (16)이 정합되어 놓이도록 몰드(226)를 위치시킬 수 있고, 기판(16)의 제 2 면(14) 위에 위치된 폴리머 재료(50)로 기판(16)과 몰드(226) 사이에 한정된 바람직한 부피가 충전된다. 단계(316)에서 기판(16)의 제 2 면(14)에 위치한 폴리머 재료(50)는 기판(16)의 제 2 면(14) 및 몰드(226)의 패턴화 표면(228)에 일치하도록 고화 및/또는 가교결합될 수 있다. 다른 구체예에서, 기판(16)이 상기 언급한 화학선을 실질적으로 투과시킴으로써 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 위치된 재료(50)가 동시에 고화 및/또는 가교결합되는 경우, 상기 언급한 단계(308)가 생략될 수 있다.
도 15 및 도 21에 관하여, 단계(318)에서 기판(16)과 몰드(26)가 결합을 유지한 상태에서 기판(16)의 제 2 면(14)에 위치한 폴리머 재료(50)로부터 몰드(226)가 분리될 수 있다. 폴리머 재료(50)로부터 몰드(226)의 분리를 용이하게 하기 위하여, 몰드(226)가 기판(16)을 향해 굽어지는 동시에 임프린트 헤드(36)에 의해 몰드(226)로부터 물러나는 방향으로 몰드(26)의 움직임이 제공될 수 있다.
도 15 및 도 22에 관하여, 단계(320)에서 제 1 및 제 2 스테이지(20 및 22)와 임프린트 헤드(36)가 기판 척(18)이 기판(16)과 정합되어 놓이도록 기판 척(18)을 위치시킬 수 있다. 단계(322)에서 몰드(26)가 기판(16)의 제 1 면(12) 위에 위치한 폴리머 재료(50)로부터 분리될 수 있으며, 이로써 기판(16)이 기판 척(18) 위에 위치될 수 있다. 폴리머 재료(50)로부터 몰드(26)의 분리를 용이하게 하기 위하여, 몰드(26)가 기판(16)을 향해 굽어지는 동시에 임프린트 헤드(36)에 의해 기판(16)로부터 물러나는 방향으로 몰드(26)의 움직임이 제공될 수 있다. 기판(16)의 제 2 면(14) 위에 위치한 폴리머 재료(50)는 예방할 수 없는 경우의 폴리머 재료(50)에 대한 손상을 최소화하기 위하여 기판 척(18)의 공동(19) 안에 위치될 수 있다. 단계(324)에서 기판(16)이 기판 척(18)으로부터 제거될 수 있고, 로봇(68)이 기판 카세트(74)에 기판(16)을 위치시킬 수 있다.
다른 구체예에서, 유체 디스펜서(48 및 248)는 시스템(110)의 외부에 위치될 수 있으며, 이 경우 시스템(100) 외부에서 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 폴리머 유체(50)가 위치된다. 또한, 로봇(68) 및/또는 기판 척(18)과 접촉하는 기판(16) 부분으로부터도 폴리머 재료(50)를 제거하는 것이 바람직할 수 있다.
도 23에 관하여, 시스템(10)의 제 3 구체예가 설명되며, 이것은 시스템(210)으로 나타낸다. 시스템(210)은 도 1-7과 관련하여 상기 설명된 시스템(10)과 유사할 수 있지만, 시스템(210)은 추가의 패턴화 표면 및 기판(16) 고정용 핀(80)을 더 포함할 수 있으며, 이것은 아래에 더 설명된다.
시스템(210)은 템플릿(24)을 향해 나와 있는 몰드(326)를 갖는 템플릿(324)을 더 포함한다. 템플릿(324)은 템플릿 척(334)과 결합될 수 있다. 템플릿(324), 몰드(326), 및 템플릿 척(334)은 각각, 도 1과 관련하여 상기 설명된 템플릿(24), 몰드(26) 및 템플릿 척(34)과 유사할 수 있다. 몰드(326)는 몰드(26)의 패턴화 표면(28)과 실질적으로 동일한 패턴화 표면(328)을 가질 수 있다; 그러나, 다른 구체예에서, 패턴화 표면(328)은 패턴화 표면(28)과 다를 수 있다. 다른 구체예에서, 템플릿 척(324)은 몰드(326)와 정합되어 놓인 템플릿 척(324)의 영역에 걸쳐 2 마이크론 내지 100 마이크론 범위의 곡률을 갖는 구형 척 장치일 수 있다. 핀(80)이 제 1 축 및 제 2 축에서 템플릿(324) 및 몰드(326)의 동작을 제공할 수 있으며, 이것은 도 1과 관련하여 상기 언급한 바와 같다. 더 나아가, 핀(80)은 제 1 축 및 제 2 축과 직교하는 제 3 축을 따라, 즉 z 축을 따라 동작을 제공할 수 있다. 예로서, 핀(80)은 약 50-200 마이크론의 x 축 및 y 축에 대한 동작과 약 2 밀리미터의 z 축을 따른 동작을 제공할 수 있다.
시스템(210)은 유체 디스펜서(348)를 더 포함하며, 유체 디스펜서(348)는 도 1과 관련하여 상기 언급한 유체 디스펜서(48)와 유사하다. 유체 디스펜서(348)와 영상 장치(60b)가 베이스(23)에 결합된 것이 도시된다; 그러나 유체 디스펜서(348) 및 영상 장치(60b)는 시스템(210)의 어떤 부품과도 결합될 수 있다. 유체 디스펜서(348)의 제어는 유체 디스펜서(348)와 데이터 통신하는 프로세서(76)에 의해 조절될 수 있다.
도 24 및 도 25에 관하여, 기판(16)의 제 1 면(12) 및 제 2 면(14)에 패턴을 형성하는 방법 및 시스템의 제 3 구체예가 도시된다. 단계(400)에서 로봇(68)이 기판 카세트(74)로부터 기판(16)을 회수할 수 있으며, 이로써 로봇(68)이 기판(16)을 보유하게 된다. 단계(402)에서 기판(16) 위에 폴리머 유체를 위치시키기 위한 바람직한 공간적 관계가 기판(16)과 유체 디스펜서(48 및 348) 사이에 획득되도록 로봇(68)이 기판(16)을 위치시킬 수 있다. 더 구체적으로, 유체 디스펜서(48)는 기판(16)의 제 1 면(12) 위에 폴리머 유체(50)를 위치시키고, 유체 디스펜서(348)는 기판(16)의 제 2 면(14) 위에 폴리머 유체(50)를 위치시킬 수 있다. 다른 구체예에서, 유체 디스펜서(48 및 348)는 시스템(210)의 외부에 위치될 수 있으며, 이 경우 시스템(210)의 외부에서 기판(16)의 제 1 면(12)과 제 2 면(14) 위에 폴리머 유체(50)가 위치된다. 단계(404)에서 기판(16)이 몰드(16)와 몰드(326) 사이에 위치될 수 있도록 몰드(26)와 몰드(326) 사이의 거리가 증가될 수 있다. 그림을 단순화하기 위하여, 프로세서(76)와 영상 장치(60b), 핀(80) 및 유체 디스펜서(348) 사이의 결합은 나타내지 않는다.
도 24 및 도 26에 관하여, 단계(406)에서, 로봇(68)이 기판(16)을 이동시킬 수 있고, 기판(16)과 핀(80) 사이에 바람직한 공간적 관계가 획득되도록 핀(80)이 이동할 수 있다. 그 결과, 기판(16)이 핀(80)에 대하여 중심에 놓일 수 있다. 더 구체적으로, 통로(25)가 핀(80)과 정합되어 놓일 수 있다. 그러나, 다른 구체예에서는, 기판(16)과 핀(80) 사이에 어떤 바람직한 공간적 관계라도 획득될 수 있다.
도 24 및 도 27에 관하여, 단계(408)에서 핀(80)이 z 축을 따라서 이동할 수 있으며, 이로써 핀(80) 위에 기판(16)이 위치될 수 있다. 단계(410)에서 보유 기판(16)으로부터 로봇(68)이 철수될 수 있다. 더 구체적으로, 로봇(68)의 암(70)이 철수됨으로써, 도 7에 나타낸, 단부 작동기(73)와 기판(16)의 결합이 해제될 수 있다. 단계(412)에서 영상 장치(60a)가 기판(16)의 위치를 결정할 수 있다. 더 구체적으로, 도 5 및 6과 관련하여 상기 언급한 대로, 영상 장치(60a)는 시스템(10)의 어떤 부품, 즉 몰드(26), 몰드(326), 또는 로봇(68)에 대하여 기판(16)의 중심 위치를 결정하기 위해 사용될 수 있다. 그 결과, 시스템(10)의 어떤 부품과 관련하여 기판(16)의 바람직한 공간적 관계가 획득될 수 있으며, 이것은 아래에 더 설명된다.
도 24 및 도 28에 관하여, 단계(414)에서 기판(16)과 몰드(326) 사이의 바람직한 위치가 획득될 수 있다. 더 구체적으로, 핀(80)과 척(334)이 기판(16)과 몰드(326)가 정합되어 놓이도록 기판(16)과 몰드(326)를 위치시킬 수 있고, 또한 기판(16)의 제 2 면(14) 위에 위치된 폴리머 재료(50)로 기판(16)과 몰드(326) 사이에 한정된 바람직한 부피가 충전될 수 있다.
도 24 및 도 29에 관하여, 단계(416)에서 기판(16)과 몰드(26) 사이의 바람직한 위치가 획득될 수 있다. 더 구체적으로, 핀(80)과 임프린트 헤드(36)가 기판 (16)과 몰드(26)가 정합되어 놓이도록 기판(16)과 몰드(26)를 위치시킬 수 있으며, 또한 기판(16)의 제 1 면(12) 위에 위치된 폴리머 재료(50)로 기판(16)과 몰드(26) 사이에 한정된 바람직한 부피가 충전될 수 있다. 상기 언급한 대로, 단계(418)에서 기판(16)의 제 1 면(12) 위에 위치된 폴리머 재료(50)는 기판(16)의 제 1 면(12) 및 몰드(26)의 패턴화 표면(28)에 일치하도록 고화 및/또는 가교결합될 수 있으며, 기판(16)의 제 2 면(14)에 위치된 폴리머 재료(50)는 기판(16)의 제 2 면(14) 및 몰드(326)의 패턴화 표면(328)과 일치하도록 고화 및/또는 가교결합될 수 있다.
도 24 및 도 30에 관하여, 단계(420)에서 기판(16)의 제 1 면(12)에 위치한 폴리머 재료(50)와 몰드(26)가 분리될 수 있다. 더욱이, 로봇(68) 및/또는 핀(80)과 접촉하는 기판(16) 부분으로부터도 폴리머 재료(50)를 제거하는 것이 바람직할 수 있다.
도 24 및 도 31에 관하여, 단계(422)에서 로봇(68)이 기판(16)을 회수할 수 있으며, 이로써 암(70)의, 도 7에 나타낸, 단부 작동기(73)가 기판(16)을 보유하게 된다. 단계(424)에서 기판(16)이 로봇(68)과 결합되도록 몰드(326)가 기판(16)의 제 2 면(14) 위에 위치된 폴리머 재료(50)로부터 분리될 수 있다. 단계(426)에서 기판(16)이 기판 척(18)으로부터 제거될 수 있고, 로봇(68)이 기판(16)을 기판 카세트(74)에 위치시킬 수 있다.
상기 설명된 본 발명의 구체예들은 예시이다. 본 발명의 범위 내에서 상기 인용된 명세서에 대한 많은 변화 및 변형이 만들어질 수 있다. 따라서, 본 발명의 범위는 상기 설명에 의해 제한되지 않으며, 전체 등가물 범위와 함께 첨부된 청구범위를 참조하여 결정되어야 한다.

Claims (13)

  1. 몰드 조립체를 사용하여 제 1 면 및 반대편 제 2 면을 갖는 기판을 패턴화하는 방법으로서, 상기 방법은
    상기 기판의 상기 제 1 면이 상기 몰드 조립체와 정합되어 놓이도록 상기 기판과 상기 몰드 조립체 사이에 제 1 공간적 관계를 획득하는 단계로서, 상기 기판의 상기 제 1 면과 상기 몰드 조립체 사이에 재료가 위치되어 있는 단계;
    상기 몰드 조립체를 사용하여 상기 기판의 상기 제 1 면 위의 상기 재료에 패턴을 형성하여 제 1 패턴층을 한정하는 단계;
    상기 기판의 상기 제 2 면이 상기 몰드 조립체와 정합되어 놓이도록 상기 기판과 상기 몰드 조립체 사이에 상기 제 1 공간적 관계와는 다른 제 2 공간적 관계를 획득하는 단계로서, 상기 기판의 상기 제 2 면과 상기 몰드 조립체 사이에 재료가 위치되어 있는 단계; 및
    상기 몰드 조립체를 사용하여 상기 기판의 상기 제 2 면 위의 상기 재료에 패턴을 형성하여 제 2 패턴층을 한정하는 단계
    를 포함하는 것을 특징으로 하는 기판 패턴화 방법.
  2. 제 1 항에 있어서, 상기 제 2 공간적 관계를 획득하는 단계가 상기 기판을 뒤집는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 제 2 공간적 관계를 획득하는 단계가 상기 몰드 조립체에 대하여 상기 기판을 180도 뒤집는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제 1 면 및 반대편 제 2 면을 갖는 기판을 패턴화하는 방법으로서, 상기 방법은
    상기 기판의 상기 제 1 면 위에 재료를 위치시키는 단계;
    기판의 상기 제 1 면이 상기 제 1 몰드 조립체와 정합되어 놓이도록 상기 기판과 상기 제 1 몰드 조립체 사이에 제 1 공간적 관계를 획득하는 단계;
    상기 제 1 몰드 조립체를 사용하여 상기 기판의 상기 제 1 면 위의 상기 재료에 패턴을 형성하여 제 1 패턴층을 한정하는 단계;
    상기 기판의 상기 제 2 면 위에 재료를 위치시키는 단계;
    상기 기판의 상기 제 2 면이 제 2 몰드 조립체와 정합되어 놓이도록 상기 기판과 상기 제 2 몰드 조립체 사이에 상기 제 1 공간적 관계와는 다른 제 2 공간적 관계를 획득하는 단계; 및
    상기 제 2 몰드 조립체를 사용하여 상기 기판의 상기 제 2 면 위의 상기 재료에 패턴을 형성하여 제 2 패턴층을 한정하는 단계
    를 포함하는 것을 특징으로 하는 기판 패턴화 방법.
  5. 제 4 항에 있어서, 상기 기판의 상기 제 1 면 위의 상기 재료에 상기 패턴을 형성하는 단계가, 상기 재료가 상기 기판의 상기 제 2 면 위에 위치될 수 있도록 상기 기판과 상기 제 1 몰드 조립체를 결합시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 몰드 조립체; 및
    상기 몰드 조립체에 대하여 제 1 및 제 2 위치를 선택하여 상기 기판을 위치시킴으로써, 상기 몰드 조립체가 기판의 제 1 면 위에 위치된 재료와 접촉하여 제 1 패턴층을 한정할 수 있고, 또한 기판의 반대편 제 2 면 위에 위치된 재료와 접촉하여 제 2 패턴층을 한정할 수 있도록 상기 기판에 결합된 로봇
    을 포함하는, 제 1 면 및 반대편 제 2 면을 갖는 기판 패턴화 시스템.
  7. 제 6 항에 있어서, 제 1 유체 디스펜서 및 반대편 제 2 유체 디스펜서를 더 포함하며, 상기 제 1 유체 디스펜서는 상기 기판의 상기 제 1 면 위에 상기 재료를 위치시키고, 상기 제 2 유체 디스펜서는 상기 기판의 상기 제 2 면 위에 상기 재료를 위치시키는 것을 특징으로 하는 시스템.
  8. 제 6 항에 있어서, 상기 몰드 조립체와 상기 기판의 공간적 관계를 결정하기 위한 광학 탐지 시스템을 더 포함하는 것을 특징으로 하는 시스템.
  9. 제 6 항에 있어서, 상기 로봇이 또한 상기 몰드 조립체에 대하여 상기 기판 을 180도 뒤집는 것을 특징으로 하는 시스템.
  10. 제 1 몰드 조립체;
    상기 제 1 몰드 조립체와 마주하여 위치된 제 2 몰드 조립체; 및
    상기 제 1 및 제 2 몰드 조립체에 대하여 제 1 및 제 2 위치를 선택하여 상기 기판을 위치시킴으로써, 상기 제 1 위치에서는 상기 제 1 몰드 조립체가 상기 기판의 상기 제 1 면 위에 위치된 재료와 접촉하고, 상기 제 2 위치에서는 상기 제 2 몰드 조립체가 상기 기판의 상기 제 2 면 위에 위치된 재료와 접촉하도록 하는 이동 스테이지
    를 포함하는, 제 1 면 및 반대편 제 2 면을 갖는 기판 패턴화 시스템.
  11. 제 10 항에 있어서, 제 1 유체 디스펜서 및 반대편 제 2 유체 디스펜서를 더 포함하며, 상기 제 1 유체 디스펜서는 상기 기판의 상기 제 1 면 위에 상기 재료를 위치시키고, 상기 제 2 유체 디스펜서는 상기 기판의 상기 제 2 면 위에 상기 재료를 위치시키는 것을 특징으로 하는 시스템.
  12. 제 10 항에 있어서, 상기 몰드 조립체와 상기 기판의 공간적 관계를 결정하기 위한 광학 탐지 시스템을 더 포함하는 것을 특징으로 하는 시스템.
  13. 제 10 항에 있어서, 상기 로봇이 또한 상기 몰드 조립체에 대하여 상기 기판 을 180도 뒤집는 것을 특징으로 하는 시스템.
KR1020087015448A 2005-12-08 2006-11-30 기판의 양면 패턴화를 위한 방법 및 시스템 KR101324549B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US74843005P 2005-12-08 2005-12-08
US60/748,430 2005-12-08
PCT/US2006/046256 WO2007067488A2 (en) 2005-12-08 2006-11-30 Method and system for double-sided patterning of substrates

Publications (2)

Publication Number Publication Date
KR20080080338A true KR20080080338A (ko) 2008-09-03
KR101324549B1 KR101324549B1 (ko) 2013-11-01

Family

ID=38123403

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087015448A KR101324549B1 (ko) 2005-12-08 2006-11-30 기판의 양면 패턴화를 위한 방법 및 시스템

Country Status (8)

Country Link
US (2) US7670529B2 (ko)
EP (1) EP1957249B1 (ko)
JP (1) JP4987012B2 (ko)
KR (1) KR101324549B1 (ko)
CN (2) CN104317161A (ko)
MY (1) MY144847A (ko)
TW (1) TWI310726B (ko)
WO (1) WO2007067488A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180062361A (ko) * 2016-11-30 2018-06-08 캐논 가부시끼가이샤 템플릿의 위치를 조정하기 위한 시스템 및 방법
KR20190052160A (ko) * 2016-10-04 2019-05-15 도쿄엘렉트론가부시키가이샤 기판 제조 동안의 피처 토포그래피에 대한 스핀 코트 평탄화의 용이화

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
ATE484773T1 (de) 2007-03-21 2010-10-15 Erich Thallner Verfahren und vorrichtung zur erzeugung einer nanostrukturierten scheibe
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8535766B2 (en) * 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
JP5327421B2 (ja) * 2008-03-14 2013-10-30 大日本印刷株式会社 インプリント用スタンパ
JP4815464B2 (ja) * 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8309008B2 (en) * 2008-10-30 2012-11-13 Molecular Imprints, Inc. Separation in an imprint lithography process
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
US8431911B2 (en) * 2009-04-13 2013-04-30 Applied Materials, Inc. HDD pattern apparatus using laser, E-beam, or focused ion beam
JP5328495B2 (ja) * 2009-06-04 2013-10-30 キヤノン株式会社 インプリント装置及び物品の製造方法
WO2011077882A1 (ja) * 2009-12-25 2011-06-30 株式会社日立ハイテクノロジーズ 両面インプリント装置
JP5469041B2 (ja) * 2010-03-08 2014-04-09 株式会社日立ハイテクノロジーズ 微細構造転写方法およびその装置
WO2012020741A1 (ja) * 2010-08-12 2012-02-16 株式会社日立ハイテクノロジーズ 光インプリント方法及び装置
JP2012109487A (ja) * 2010-11-19 2012-06-07 Hitachi High-Technologies Corp 両面インプリント装置
WO2013077952A1 (en) 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
JP5930832B2 (ja) 2012-04-27 2016-06-08 キヤノン株式会社 光硬化物の製造方法
CN104129816B (zh) * 2014-07-31 2016-03-30 襄阳龙蟒钛业有限公司 一种钛白浓缩酸除铁的方法
US10120276B2 (en) * 2015-03-31 2018-11-06 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
WO2017180866A1 (en) * 2016-04-15 2017-10-19 Michaelis A John Maintaining alignment while turning over a panel
CN205702840U (zh) * 2016-05-12 2016-11-23 李俊豪 双面加工的激光机台
CN106206462A (zh) * 2016-09-12 2016-12-07 桂林电子科技大学 一种面向可延展电子的双面柔性结构性基底
DE102016122355B4 (de) * 2016-11-21 2018-10-04 Manz Ag Bearbeitungsanlage und Verfahren zum Bearbeiten eines plattenförmigen Objekts
US10395940B1 (en) 2018-03-13 2019-08-27 Toyota Motor Engineering & Manufacturing North America, Inc. Method of etching microelectronic mechanical system features in a silicon wafer
EP4003683A1 (en) * 2019-07-23 2022-06-01 University Of Massachusetts Thermal imprinting of nanostructure materials
CN111913349A (zh) * 2020-08-25 2020-11-10 青岛天仁微纳科技有限责任公司 纳米压印设备及压印方法
CN113934111B (zh) * 2021-11-09 2023-07-18 青岛天仁微纳科技有限责任公司 一种具有双面压印功能的纳米压印设备

Family Cites Families (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2000000A (en) * 1932-05-12 1935-04-30 Budd Edward G Mfg Co Vehicle wheel construction
GB1183056A (en) * 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US4022855A (en) * 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
NL7710555A (nl) 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (de) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück Wasserfestes fotografisches papier und verfahren zu seiner herstellung
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
JPS58155542A (ja) * 1982-03-10 1983-09-16 Toshiba Corp 情報記録担体
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4637904A (en) * 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4506184A (en) * 1984-01-10 1985-03-19 Varian Associates, Inc. Deformable chuck driven by piezoelectric means
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
DE3767317D1 (de) 1986-02-13 1991-02-21 Philips Nv Matrize fuer einen abdruck-process.
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JP2823016B2 (ja) 1986-12-25 1998-11-11 ソニー株式会社 透過型スクリーンの製造方法
US6048799A (en) * 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JP2570402B2 (ja) * 1988-09-30 1997-01-08 日本ビクター株式会社 光学式情報記録媒体成型装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) * 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) * 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (fr) 1991-05-29 1993-12-24 Solems Procede, dispositif et appareil pour traiter un substrat par un plasma basse pression.
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (de) * 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
CN1120683A (zh) * 1994-03-15 1996-04-17 松下电器产业株式会社 曝光方法及其装置
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) * 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
EP0938597B1 (en) 1996-09-06 2003-08-20 Obducat Aktiebolag Method for anisotropic etching of structures in conducting materials
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (ja) 1996-10-23 1998-05-15 Toshiba Corp 液晶表示素子
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5996415A (en) * 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6019166A (en) * 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
JP4498601B2 (ja) 1998-03-05 2010-07-07 オブデュキャット、アクチボラグ エッチング方法
US6304424B1 (en) 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
FI109944B (fi) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelektroninen komponentti ja valmistusmenetelmä
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6726195B1 (en) * 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6142763A (en) * 1998-12-30 2000-11-07 International Thermoforming Systems, Inc. Thermal presses for forming articles from a web of thermoplastic material
US6521536B1 (en) * 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
JP2000231011A (ja) * 1999-02-09 2000-08-22 Sharp Corp 光学素子およびその製造に用いるスタンパ
US6741338B2 (en) 1999-02-10 2004-05-25 Litel Instruments In-situ source metrology instrument and method of use
US6198525B1 (en) * 1999-02-19 2001-03-06 International Business Machines Corporation System for contact imaging both sides of a substrate
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6220561B1 (en) * 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6809802B1 (en) * 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6512401B2 (en) * 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
WO2001040875A1 (en) 1999-11-30 2001-06-07 Silicon Valley Group, Inc. Dual-stage lithography apparatus and method
SE515607C2 (sv) 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
US6391217B2 (en) 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6356337B1 (en) * 2000-03-08 2002-03-12 Anvik Corporation Two-sided substrate imaging using single-approach projection optics
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
JP2001358056A (ja) * 2000-06-15 2001-12-26 Canon Inc 露光装置
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP2264524A3 (en) 2000-07-16 2011-11-30 The Board of Regents of The University of Texas System High-resolution overlay alignement methods and systems for imprint lithography
CN1262883C (zh) 2000-07-17 2006-07-05 得克萨斯州大学系统董事会 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7717696B2 (en) 2000-07-18 2010-05-18 Nanonex Corp. Apparatus for double-sided imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (ko) * 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
WO2002017383A2 (en) 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
US7387508B2 (en) 2004-06-01 2008-06-17 Molecular Imprints Inc. Compliant device for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6879162B2 (en) * 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
EP1405336A2 (en) 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US6612590B2 (en) 2001-01-12 2003-09-02 Tokyo Electron Limited Apparatus and methods for manipulating semiconductor wafers
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (ja) 2001-05-22 2002-12-04 Sharp Corp 金属膜パターンおよびその製造方法
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) * 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
JP2003202584A (ja) 2002-01-08 2003-07-18 Toshiba Corp 液晶表示装置
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6736408B2 (en) 2002-01-25 2004-05-18 Applied Materials Inc. Rotary vacuum-chuck with venturi formed at base of rotating shaft
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
KR100975785B1 (ko) * 2002-03-15 2010-08-16 프린스턴 유니버시티 레이저 보조 직접 임프린트 리소그래피
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
CA2380114C (en) * 2002-04-04 2010-01-19 Obducat Aktiebolag Imprint method and device
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
AU2003230483A1 (en) * 2002-04-24 2003-11-10 Obducat Ab Device and method for transferring a pattern to a substrate
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
CN100358728C (zh) 2002-05-27 2008-01-02 皇家飞利浦电子股份有限公司 从印模到衬底转移图形的方法和装置
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
SE522237C2 (sv) * 2002-06-07 2004-01-27 Obducat Ab Förfarande och anordning för överföring av ett mönster, centrering av ett substrat och ett tryckorgan samt användning av en konisk dorn
CA2488886A1 (en) * 2002-06-13 2003-12-24 The Procter & Gamble Company Compositions comprising specfic fabric softener actives
JP4266328B2 (ja) * 2002-06-17 2009-05-20 株式会社ナガセインテグレックス 転写装置
US7252492B2 (en) 2002-06-20 2007-08-07 Obducat Ab Devices and methods for aligning a stamp and a substrate
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
EP1546804A1 (en) * 2002-08-27 2005-06-29 Obducat AB Device for transferring a pattern to an object
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040207836A1 (en) * 2002-09-27 2004-10-21 Rajeshwar Chhibber High dynamic range optical inspection system and method
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
JP4363837B2 (ja) * 2002-11-07 2009-11-11 大日本印刷株式会社 曲面への微細な凹凸の形成方法、及び光学部材
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7641840B2 (en) 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7323130B2 (en) * 2002-12-13 2008-01-29 Molecular Imprints, Inc. Magnification correction employing out-of-plane distortion of a substrate
JP4158514B2 (ja) * 2002-12-24 2008-10-01 ウシオ電機株式会社 両面投影露光装置
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7150622B2 (en) 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
JP2005045168A (ja) * 2003-07-25 2005-02-17 Tokyo Electron Ltd インプリント方法およびインプリント装置
US6879191B2 (en) * 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
JP4322096B2 (ja) 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7023238B1 (en) * 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
JP4455093B2 (ja) * 2004-02-20 2010-04-21 キヤノン株式会社 モールド、モールドを用いた加工装置及びモールドを用いた加工方法
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7307697B2 (en) 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
WO2005118914A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Substrate support system and method
US20050275311A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
JP4792028B2 (ja) 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US20070228593A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7282550B2 (en) 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
WO2006060758A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US7363854B2 (en) 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7635263B2 (en) 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7316554B2 (en) * 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
ATE549294T1 (de) * 2005-12-09 2012-03-15 Obducat Ab Vorrichtung und verfahren zum transfer von mustern mit zwischenstempel
US7360851B1 (en) * 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US20070231422A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
JP4536148B2 (ja) 2006-04-03 2010-09-01 モレキュラー・インプリンツ・インコーポレーテッド リソグラフィ・インプリント・システム
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190052160A (ko) * 2016-10-04 2019-05-15 도쿄엘렉트론가부시키가이샤 기판 제조 동안의 피처 토포그래피에 대한 스핀 코트 평탄화의 용이화
KR20180062361A (ko) * 2016-11-30 2018-06-08 캐논 가부시끼가이샤 템플릿의 위치를 조정하기 위한 시스템 및 방법

Also Published As

Publication number Publication date
KR101324549B1 (ko) 2013-11-01
WO2007067488A3 (en) 2009-04-23
TWI310726B (en) 2009-06-11
US7670529B2 (en) 2010-03-02
WO2007067488A2 (en) 2007-06-14
JP2009518863A (ja) 2009-05-07
MY144847A (en) 2011-11-30
CN101535021A (zh) 2009-09-16
JP4987012B2 (ja) 2012-07-25
CN104317161A (zh) 2015-01-28
EP1957249B1 (en) 2014-11-12
US20100129486A1 (en) 2010-05-27
US20070132152A1 (en) 2007-06-14
EP1957249A2 (en) 2008-08-20
US8109754B2 (en) 2012-02-07
EP1957249A4 (en) 2012-07-25
TW200730325A (en) 2007-08-16

Similar Documents

Publication Publication Date Title
KR101324549B1 (ko) 기판의 양면 패턴화를 위한 방법 및 시스템
KR101324544B1 (ko) 멀티 척을 사용한 기판 패턴화
US8268209B2 (en) Pattern forming method and its mold
US7802978B2 (en) Imprinting of partial fields at the edge of the wafer
US8109751B2 (en) Imprint device and microstructure transfer method
KR101681506B1 (ko) 에지 필드 나노임프린팅을 위한 정렬
US20080229948A1 (en) Imprint device and method of manufacturing imprinted structure
US8237133B2 (en) Energy sources for curing in an imprint lithography system
JP2010080630A (ja) 押印装置および物品の製造方法
JP2013507770A (ja) 大面積線形アレイのナノインプリンティング
US8186992B2 (en) Micropattern transfer device
JP2020008841A (ja) 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
US8231821B2 (en) Substrate alignment
US20230415403A1 (en) Imprint system, substrate, imprint method, replica mold manufacturing method, and article manufacturing method
TW202303744A (zh) 催化劑影響化學蝕刻的製程與應用

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161102

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171017

Year of fee payment: 5