TWI228642B - Method of two dimensional feature model calibration and optimization - Google Patents
Method of two dimensional feature model calibration and optimization Download PDFInfo
- Publication number
- TWI228642B TWI228642B TW091123294A TW91123294A TWI228642B TW I228642 B TWI228642 B TW I228642B TW 091123294 A TW091123294 A TW 091123294A TW 91123294 A TW91123294 A TW 91123294A TW I228642 B TWI228642 B TW I228642B
- Authority
- TW
- Taiwan
- Prior art keywords
- patterns
- correction
- contour
- pattern
- group
- Prior art date
Links
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/36—Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Description
(〇 1228642 衣、發明說明 (發明說明應敘明:發明所屬之技術領域、先前技術、内容、實施方式及圖式簡襄言霉^ f明領域 本發明一般關係微影蝕刻投影,及較具體關係一種方法 產生已知函數組(即校正模型)以定義一成像系統的性 能’然後利用該系統以校正光鄰近效應及改善基板上印刷 光罩圖案。 本發明也關係在一微影蝕刻投影裝置令使用校正技 術,一般包括: -一放射系統用以供應一放射投影光束; -一支撐結構用以支撐成型裝置(如光罩),成型裝置則用 來根據所需圖案成型投影光束; -一基板台用以固定一基板;以及 -一投影系統用以將成型的光束投影在該基板的目標部 份上。 發明_背景 微影蝕刻裝置可用於,例如,積體電路(IC)的製造。在 此種情況中,光罩包括一相當於1C的各層的電路圖案,並 可將此圖案映射於已塗佈一層對輻射敏感的材料(光阻) 的一基板(矽晶圓)上面的目標部份(如包括一或多個晶模 粒)。一般而言,一單晶圓可包括相鄰目標部份所構成之 整個網路,並將依序由投影糸統母-人照射一部份。在一種 微影蝕刻投影裝置中,每照射一目標部份須要曝光整個光 罩圖案一次,此種裝置一般稱為晶圓步進機。在另_種替 代裝置中,一般稱為步進掃描裝置’彳又影光束下以一指定 -6- 1228642 (2) 發說鹱續買 參考方向(「掃描」方向)逐步掃描光罩圖案以照射每一目 標部份’並同步於平行或反平行方向掃描基板台,因為一 般此投影系統具有一放大倍率M(通常< i ),所以掃描基板 台的速率V將為掃描光罩速率的Μ倍。有關上述微影蝕刻 裝置的進 步資訊可於,例如,US 6,046,792中見到,該文 中以提及方式併入本文。 在使用微影蝕刻投影裝置的製造方法中,一光罩圖案係 映射在至少部份由一層對輻射敏感材料(光阻)塗佈的基 板上。在此成像步驟之前,基板可經各種程序處理,例如 打底、光阻塗佈及軟烘乾。曝光之後,該基板可接受其他 處理,例如曝光後烘乾(ΡΕΒ)、顯影、一硬烘乾及測量/ 檢查成像的特徵。這一程序陣列係用來作為一基礎以成型 一裝置(如1C)的各層。然後,此成型層可再經過各種處 理,例如蝕刻、離子植入(摻雜)、金屬電鍍、氧化、化學 機械拋光等,所有步驟皆為各層表面處理所需。如果需要 許多層,則整個程序,或其一變化步驟必須每一新層重 覆。最後,在基板(晶圓)上將呈現一裝置陣列。然後這些 裝置利用一技術如分割或鋸開分成各個裝置固定在一载 體上、連接至梢等等。進一步有關處理的資料可從,例如, Peter van Zant著的「MicrochiP公司:一半導體處理的實用規 範」,第三版,McGraw Hill 出版公司 ’ 1997 ’ ISBN 0-07-067250-4 ,一書中獲得,並以提示方式併入本文。 為簡化起見,以下稱投影系統為「透鏡」;不過,這個 術語必須作廣義的解釋以包括各種投影系統’例如’包含 1228642 發_說螞續頁 (3) 折射光學、反射光學及反折射的系統。該輻射系統亦可包 含根據任何設計類型操作的組件,用以定向、成型或控制 的投影光束,且該組件以下也可以統稱或獨稱為「透鏡」。 另外,此微影蝕刻裝置可能是一種具有兩個以上基板台 (及/或兩個以上光罩台)的形式。在此種「多平台」裝置 中,可以平行使用額外台面,或在一或多個台面上進行準 備步驟,而其他一或多個台面則用於曝光。雙平台微影蝕 刻裝置在,例如,US 5,969,441及W0 98/40791專利中均有說 明,此處以提及方式併入本文。 上述微影蝕刻光罩包括相當於積合在矽晶圓上的電路 組件的幾何圖案。用於產生光罩的圖案係利用CAD(電腦 輔助設計)程式產生,這種方法通常稱為EDA(電子自動設 計)。大部份CAD程式係根據一組預定設計規則以便產生 函數化光罩。這些規則包括處理及設計限制。例如,設計 規則定義電路裝置(如閘極、電容器等)之間的空間公差或 連接線,以確保電路裝置或線之間不發生不良的相互作 用。設計規則限制一般稱為「臨界尺寸」(C D)。一電路 的臨界尺寸可定義為最小線寬或兩線之的最小間隙。如 此,C D決定設計電路的總尺寸及密度。 當然,積體電路製造的一個目標為可靠地在晶圓上複製 (經光罩)原來的電路設計。另外目標為儘量使用半導體晶 圓不動產。因為積體電路的尺寸減少及其密度增加,不 過,其相對光罩圖案的CD則接近光曝光工具的解析度極 限。曝光工具的解析度的定義為曝光工具可重複曝光在晶 1228642 圓上的最小特徵。許多新穎1C電路設計的CD通常受到現 有曝光設備的解析度值限制。 因為電路配置的臨界尺寸變小及接近曝光工具的解析 度值,光罩圖案及光阻層上顯影的真實電路之間的一致性 明顯減少。光罩及真實電路圖案之間的相差量及程度係根 據電路特徵之間的鄰近性而定。所以,圖案轉移問題稱為 「鄰近效應」。 協助克服鄰近效應問題,使用一些技術以添加次·微影 蝕刻特徵至光罩圖案。次-微影蝕刻特徵具有尺寸小於曝 光工具的解析度及因而不轉移至光阻層。代之,次-微影 蝕刻特徵與原來光罩圖案作用及補償鄰近效應,因而改善 最後轉移電路圖案。 次-微影蝕刻特徵例如為散射條及反-散射條,如美國專 利US 5,821,014(以提示方式併入)所揭露,添加光罩圖案以 減少光罩圖案内由鄰近效應產生的差。較特別,次解析度 協助特徵,或散射條己經用作校正光學鄰近效應的裝置及 已經顯示用於有效增加總處理窗(即,一致印刷具有特定 CD的特徵的能力,而不論相鄰特徵是否相互隔離或密集 封包)。如前述’014專利,一般而言,光學的鄰近校正的 發生係由利用放置散射條靠近特徵而改善焦點深度以達 到對隔離特徵較少密集。散射條功能改變有效圖案密度 (隔離或較少密集特徵)更為密集,因而抵銷隔離或較少密 集特徵印刷相關的不良鄰近效應。不過,重要的是散射條 不印在晶圓上。 1228642 發_隸萌續買 對於中節距特徵的節距,因為沒有空間插入S B, 準光學的鄰近校正方法(OPC)為調整特徵邊緣(或施 壓)致使印刷特徵寬度較接近預定寬度。為了使用次 度特徵及/或特徵偏移成為有效減少光鄰近效應,如 獲得理想的目標,一操作者需要具有大量有關遮設計 刷處理的知識及大量的經驗以修改光罩設計包括次 度特徵及/或特徵邊緣調整(偏移)。確實,既使一位 驗操作者執行這任務,通常需要進行一「反覆試驗」 法以便適當定位次解析度特徵以獲得理想的校正。反 驗驗的方法可以重複修改光罩後再重複模擬因而 費時又責昂的方法。 另外的校正光學的鄰近效應(ΟΡΕ)方法藉由「校正 刷處理以便補償ΟΡΕ。目前己知技術包括「相關處理 謂校正OPC模式的校正參數,該方法需要執行一組各 特徵現場的詳細SEM CD測量。不論真實特徵形狀,使 寬度測量。更多的測量資料收集,校正參數的準確 準確。不過,作一可靠模型參數校正通常需要數百個 同相鄰環境下的各臨界特徵現場的C D測量。這是一 力密集及費時的工作。更嚴重地,由於經驗程度測: 往往得依賴操作者,非常明顯對參數校正有不良影響 而限制技術的總效果。 所以,有需要一種產生一組參數(或校正因數)的方 以定義一已知成像系統的印刷性能致使可以利用參 自動校正及/或減少已知成像系統相關的光學的鄰近 一標 加偏 解析 果要 及印 解析 有經 的方 覆試 成為 」印 」所 不同 用ID 度愈 在不 項勞 t CD ,因 法, 數以 效應 -10 - 1228642 (6) 發嚼鍊_續1 而不必讓操作者進行上述反覆試驗的光罩修改方法。另 外,有必要具有一自動校正及最佳化方法以根據實際2D 晶圓圖案產生一組準確模型參數,操作者影響最小而結果 一致。 發明概要 在致力於解決上述的需要,本發明的一目標為提供一種 自動方法產生一組最佳化參數(或校正因數)使用印刷2D 晶圓圖案以定義一已知成像系統的印刷性能致使可以利 用參數自動校正及/或減少已知成像系統相關的光學的鄰 近效應而不必要操作者執行反覆試驗的光罩修改方法或 收集大量的ID SEM CD資料,如上述該項資料收集是一項 勞力密集的工作。 較特別,本方明的方法關係產生一微影蝕刻光罩用於利 用一成像系光統轉移一光罩内生成的圖案至一基板上。本 方法包括下列步驟:(a)定義一組校正圖案,以一資料格 式表示;(b)利用已知成像系統印刷校正圖案於基板上; (c) 由成像在基板上的校正圖案決定一第一組輪廓圖案; (d) 產生一假強度函數(SPIF)能較準確表示成像系統的成 像性能;(e)利用系統的假強度函數決定一第二組輪廓圖 案以定義如何校正圖案(設計資料)成像到基板上;(f)比較 第一組輪廓圖案及第二組輪廓圖案以決定其間之差異;(g) 調整系統的假強度函數的參數或真實函數本身直到第一 組輪廓圖案及第二組輪廓圖案低於一預定標準;及(h)利 用調整系統假強度函數以修改光罩以便提供光學的鄰近 -11 - 1228642 ⑺ 發瞵說嗎續i: 校正。 如以下詳述,本發明提供明顯勝過先前技藝的優點。例 如,利用自動抽取印刷晶圓圖案的2 D輪廓用於S P IE模型 校正及最佳化,以便能更確實表示該實際晶圓的特徵。另 外,利用實際模造己知成像系統的成像性能,便能自動作 作調整光罩設計以補償光學的鄰近效應及其他降低成像 性能的因數。較重要,本發明方法避免了需要某些較熟練 成像處理者進行「反覆試驗」的方法校正光學的鄰近效應 或如一般由一些人進行勞力密集的ID SEM CD資料收 集。如此,本發明在時間及成本方面造成種大節省以產生 一耐用光罩設計。另外,利用真實2D圖案輪廓作為校正 及最佳化的輸入,本發明利用許多「富裕」資料資訊以描 述實際晶圓特徵,及獲得更多準確模型參數結果。 熟悉本技藝者參考下列具體實施例的詳細說明將會明 白本發明的額外優點。 雖然本文所提供是使用本發明製造1C的特定參考例 子,但必須明白本發明具有許多其他的應用。例如’利用 光光罩成型校正及光阻模造,及晶圓蝕刻模造,及一般2 D 圖案校正應用如積體光系統、磁範圍記憶體的偵測圖案及 標準、液晶顯示板、薄膜磁頭等。熟悉本技藝者會想到, 在其他應用中,任何使用本文所述的名詞「光環」、「晶圓」 或「晶粒」必須考慮以更通用的名詞「光罩」、「基板」及 「目標部份」分別取代。 在本文件中所使用的名詞「放射」及「光束」可用來包 1228642 (8) 發_說鹱續頁 含所有型式的電磁放射,包括紫外線放射(如波長為 365、248、193、157 或 126 nm)及 EUV(遠紫外線放射, 如具有一波長範圍5至20 nm)。 此處所用名詞光罩應廣義解釋為可用以賦予射入之放 射光束一成型之斷面的裝置,該斷面係對應基板目標部份 產生的圖案;名詞「光閥」亦可用於此處。除了典型光罩 (透射或反射;二元、移相、混合等)外,尚包括其他成型
校正的例子:
• 一可程式反射鏡陣列。此種裝置的一個例子是一具有 黏彈性(v i s c 〇 e 1 a s t i c)控制層及反射表面的陣列可定址表 面。該種裝置的基本原理為(例如)反射表面的已定址區域 反射入射光成為繞射光,而未定址區域則反射入射光成為 非繞射光。利用適當濾波器可自反射光束中篩檢出該非繞 射光,僅擋下繞射光,如此光束即根據陣列可定址表面的 定址圖案成型。可使用適當的電子裝置,以執行所需的陣 列定址。如需此種反射鏡陣列更多詳細資訊,可參考,例 如,美國專利案號US 5,296,891和US 5,523,193,此處以 提示方式將其併入本文。 • 一可程式LCD陣列。這種構造的一例子用於美國專利 案號US 5,229,872,並以提示方式併入本文。 本發明包括其他目標及優點在參考下列詳細說明及附 圖後會更加明白。 圖式簡單說明 圖1為一流程圖顯示根據本發明的校正方法的一具體實 -13 - 1228642 (9) 發_說嗎續頁 .................····.·······........ 施例。 圖2 A顯示一未受校正處理的密集特徵的例子。 圖2 B顯示利用本發明方法決定的一第一組校正因數印 刷圖2A的密集特徵圖案。 圖2 C顯示利用本發明方法決定的一第二組校正因數印 刷圖2A的密集特徵圖案。 圖3 A顯示一未受校正處理的半隔離特徵圖案的例子。
圖3 B顯示利用本發明方法決定的一第一組校正因數印 刷圖3 A的半隔離特徵圖案。 圖3 C顯示利用本發明方法決定的一第二組校正因數印 刷圖3 A的半隔離特徵圖案。 圖4 A顯示一未受校正處理的隔離特徵的例子。 圖4 B顯示利用本發明方法決定的一第一組校正因數印 刷圖4A的隔離特徵圖案。 圖4 C顯示利用本發明方法決定的一第二組校正因數印 刷圖4A的隔離特徵圖案。
圖5顯示一微影蝕刻投影裝置的例子。 發明詳細說明 根據本發明,揭露一種方法用於校正一成像系統藉由決 定一組性能參數(如校正模式)以定義已知成像系統的印 刷性能。決定的性能參數用於一般光罩設計中的多種型式 特徵的印刷(如密集空間特徵、半隔離特徵、隔離特徵、 線端、彎頭等)。如以下詳細說明,利用性能參數以決定 如何修改一光罩致使要求的特徵準確印刷在晶圓上面 -14- 1228642 (10) (如,由光罩準確地對照要求的特徵形成光阻圖案)。 一旦充分數量的特徵的性能參數(或校正模型)完成決 定,便利用性能參數以補償在已知光罩設計中利用的其他 型式的特徵的相同條件下於光阻印刷期間(或蝕刻以後) 產生的光鄰近錯誤。一種成像模型可作為系統假強度函 數,或SPIF,表示如下; SPIF(x,y)=2 αι |Μ(χ,γ)*Ψι(χ,γ) |2 i=\ 其中: a i為一校正及最佳化的加權係數; M(x,y)為光罩透射函數; %(χ,Υ)為選擇基本函數組用來代表光學的成像系統, 如,理論光學系統的Eigen函數; x,y為晶圓圖案的位置;及 *為迴旋運算符號。 利用S PIF預測已知設計圖案如何印刷,便能修改設計以 印刷出要求(「目標」)圖案。對這種型式的最佳化方法, 可使用任何已建立的最佳化方法,例如,Levenberg-Marquardt 。換言之,性能參數容許預校正印刷特徵的光罩資料致使 在晶圓上印刷完成的圖案較像所要求的圖案。如此,本發 明的方法必須產生一校正模型(即,性能參數),以便以模 型為準自動校正光罩圖案的光鄰近(OPC)效應。必須注意 本發明的方法也可以應用於確認經0 p C校正的光罩的性 能。 -15 - 1228642 發_説明續買 ⑼ 以下為本發明最佳化迴路的標準流程概說; 1. 「SPIF預測」方法: a. 計算連續限值 SPIF,及 b. 描繪多角形輪廓圍繞上述限值(或> 1,作為標準限 值)區域。 2. 「OPC」方法: a. 執行「SPIF預測」:
b. 比較結果及要求, c. 如果合格,最佳化便告完成,及 d. 如不合格,調整錯誤的反方向的設計邊緣。 圖1為一流程圖顯示根據本發明的校正方法的一具體實 施例。現在說明本發明的方法的例子。 本方法的第一步(步驟1)必須設計(或決定)一組圖案,稱 為校正圖案,代表印刷在晶圓上的實際特徵,包括例如, 但不限於,密集線/空間圖案,半隔離線/空間圖案及隔離 線/空間圖案。較理想,圖案包括圓、彎頭等。另外,注 意,一般來說,獲得較多校正的圖案,能較準確利用本發 明方法校正印刷晶圓的Ο P E。例如,在一具體實施例中, 一些線/空間圖案全部可以認為並決定為密集,包括一些 半密集線/空間圖案及隔離線/空間圖案。如以下較詳細說 明,收集或決定較多的校正圖案,在校正包括在光罩内的 真實特徵時本方法需要在已知校正圖案之間内插便較 少。換言之,獲得更多校正圖案,印刷的實際的特徵便更 接近相對的預定校正圖案。必須說明在本發明的一具體實 -16 - 發殡隸嗎續買 1228642 ⑼ 施例中,校正圖案由多角形圖型表示。一多角形為一多 邊2D圖型一般由一組(Χ,Υ)頂點加以描述。GDSII 5丈1^31111^為半導體工業通用的資料格式以表示1C設計資 料的2D多邊形圖型。不 '過,任何其他能適合表示光罩設 計的資料格式也可以利用。 本方法的步驟2需要形成一光罩相對步驟1定義的校正 圖案,及將光罩上形成的校正圖案印刷在晶圓上。必須說 明,校正圖案必須利用完全相同的半導體裝置商業生產的 方法及步驟印刷,如此才能進行校正。 一旦校正圖案己經印刷在晶圓上,下一步驟(步驟3)需 要成像及使用光阻成型及測量在矽晶圓上形成的校正圖 案。成像可利用,例如,一 SE Μ(掃描電子顯微鏡)裝置完 成。必須說明測量可以為,例如,上下S Ε Μ或一斷面C D (臨 界尺寸)SEM。在目前具體實施例中,較理想利用一上下 SEM CD及一相當SEM影像用於各測量的校正圖案。進一 步說明,最佳校正性能,較理想具有S Ε Μ影像並充分放 大。例如,用於1 3 0 nm及低設計規則,較佳S Ε Μ影像放大 為70 ΚΧ以上。在這種高放大率下,主圖案可容易地佔有 整個觀看範圍及一般不具有任何額外空間用來對準光罩 作為對準及比例用的S Ε Μ影像的一部份。如此,如下所 述,較理想具有影像旋轉及比例包括作為這種新穎最佳化 結構的一部份。 圖2Α、3 Α及4 Α說明成像在晶圓上的各密集,半阻離及 阻離線/空間圖案的SEM影像。必須說明完成的SEM輪廓 1228642 (13) I發隸明磺頁 1 2係重®在原來的多角形設計資料上(表示一理想的成像 方法)以便谷許比較要求的圖案(由多角形資料定義)及由 成像方法印刷的實際圖案(由SEM成像定義)。參考圖2A, 例如,可以看見完成的圖案的SEM影像為較差,因為,例 如,光學的鄰近效應。一個問題是線縮短,這由SeM影 像的線端與多角形資料決定的理想線端位置之差加以說 明(參考號碼14表示線縮短產生的間隙)。圖3A及4A顯示 各圖案的差相似。 回到圖1,在步驟4,完成SEM影像進行數位影像處理及 限制抽取二維光阻輪廓。光滑的輪廓接近多邊多角形。換 言之’留在晶圓上的光阻外形由系統定義成像圖案,經識 別及數位處理。 在下一步驟(步驟5)中,在步驟4獲得的2-D光阻輪廓轉 換成(約略為)多角形或任何其他適當格式,如GDSII St re amTM。必須說明利用的資料格式必須與步驟i利用以 表示校正圖案的相同。必須明白S em影像表示實際印刷在 晶圓上的校正圖案的結果。如此,S EM影像及校正圖案之 間的差距的表示成像系統及印刷處理中原有「誤差」。 如以下所述,2-D光阻輪廓轉換成多角形資料格式容許 光阻印刷(或钱刻成型以後)性能直接與代表成像糸統性 能的模型函數作比較。利用步驟4中獲得實際影像調整模 型函數,所以該函數準確代表成像系統的印刷性能。這樣 容許利用該函數自動修改光罩設計以便補償成像系統原 有多角形設計資料差。換言之’可以利用該函數提供光學 • 18 - (14) 1228642
的鄰近校正。 接著抽取輪廓,S E M成像起初較粗略重疊原有光罩資料 圖案。可以想到在X及Y兩方向都存有位址偏移。比較原 來π计及SEM成像的預定參考點(如方形特徵的中心),便 可決疋疋否印刷方法產生一位移,旋轉及/或比例印刷特 徵。必須說明任何適當參考點(如,特徵中心、特徵的角 落或已知邊等)可利用作為比較參考點。本發明的方法 容許同步最佳化叫及位置偏移(如,移動及旋轉)。同樣, 最仏化可以在最佳化迴路中調整S Ε Μ比例因數。這可以下 列矩陣運算: —A B· X X· C D Λ 其中: Α為X比例因數及〇為γ比例因數; C為旋轉及D為SEM影像的偏態;及 X及Y表示原始位置及广及γ,為新位置。 重要必須說明,A、Β、〇及D分別在一最佳化迴路中最 佳化但為了同組的SEM影像,因而假設A、B、C及D必須 保持不變。這是一種實用假設因為SEM必須保持有穩定及 S E Μ像係在一操作期中一致生產。 其-人’在步驟6,一組系統假強度函數(S ΡIF )產生。S ΡIF 為預定代表成像及光阻系統的合併回應函數作為一 ^量函數致使印刷光阻輪廓的概數可在一預定限值取樣 SPIF獲得。這稱為轉換連續變化spiF達一分離函數為「 -19· 1228642 (15) 發稻說嗎磺買 其中S PIF大於限值及” 0 ”除外。選擇的限值為任意數。最 佳化的SPIF為最佳化的一已知限值。如此,一旦定義SPIF 用於一已知成像系統及方法,便能大概了解一已知圖案如 何印刷在晶圓上。必須說明各成像系統及處理方法各有不 同的S P IF。用於s PIF組的相對的加權係數(a i)需要最佳化 處理。參考圖2及3用於限值SPIF圖案(已經重疊晶圓圖案 輪廓)。 在步驟7中,利用S P IF及在步驟6產生相對加權係數結合 在步驟1獲得的多角形設計資料以產生一概略的光阻圖案 輪廓藉由印刷步驟1定義的校正圖案造成。必須再說明, S P 1F表示成像系統如何作用的一概要。如此,由施加s p [ F 至步驟1的校正圖案便能獲的成像系統操作概要,及產生 的光阻輪廓圖案。較理想,步驟7產生的光阻輪廓圖案的 概要產生的格式與步驟1產生校正圖案及步驟5實際輪扉 圖案的表示所利用資料格式相同,在本例中即為多角形柊 式。(參考「SPIF預定方法」)必須說明,圖1所述本方法 的步驟6及7與步驟2-5不同及可獨立執行。例如,步驟6 及7可在步驟2-5之前,或相反,在步驟2-5之後執行。 一旦光阻輪廓圖案利用SPIF(步驟7)已經獲得概要,下 步驟(步驟8)需要比較概要的輪廓圖案與利用已知成像 乐統真實印刷校正圖案產生的輪廓圖案。更特別,預定圖 案輪扉(利用SPIF產生)比較從相對SEM影像抽取的輪靡 圖案。然後,SPIF組及相對加權係數產生變化直到Spip 輪廊圖案及S E Μ輪廓圖案之間獲得充分相配。例如,如以 -20- 1228642
下更詳細說明,假設考慮的特徵具有一方型,完成的SEM 輪廊圖案具有一圓形結構,調整SPIF函數的係數直到由 ’ SP IF函數產生的輪廓圖案具有一幾乎為圓的結構。 , 更特別,完成步驟8的比較,繼續至步驟9處理以決定是 否SPIF產生的輪廓圖案已充分接近(配合)sem輪廓圖 案。用於決定是否輪廓圖案充分相配的標準值包括,例 如’但不限於,(1)模型(SPIF產生)及實驗輪廓(SEM)之間 重疊區有差距,(2)輪廓(絕對或標示)之間的距離,在選 _ 擇點或在所有輪廓點,及(3 )兩輪廓之間距離的平方和。 这些稱為成本函數的標準值在配合處理中減少。一旦選擇 一標準值’便已決定相關值,然後利用該值作為步驟9的 分割因數。必須說明步驟9的處理包括確認位置偏差及印 刷特徵的比例低於一合格預定標準值。 如果產生SPIF輪廓圖案及SEM輪廓圖案之間的差詎夂 大(如,不能滿足步驟9的標準值),則進行步驟1〇處毽, /、中S P IF奋數位置偏移、比例及/或傾斜因數經過修改 φ 以使το成的SPIF輪廓圖案的形狀及位置較接近相對的 SEM輪廊圖案。(參考「〇pc方法」)。然後回到步驟7以夜 根據更新的前述變數再生輪廓圖案。然後,再重複爹鳞S 及9。 一 如果在數次重複步驟1〇的迴路後產生SPIF輪廓圖案 及SEM輪廊圖案之間的差距仍然太大,則進行步驟10A。 在乂驟1〇八中進行選擇/建構一新SPIF函數及或增加剎用 基本函數的數目「n」以構成SPIF函數。然後回到步賻6 * -21 - 1228642 (17) _ 發_鍊明磧買 一 * ^ . 及以上述方式繼續處理’利用新/修改spiF函數。 如前述,如果SPIFM權係數的調整及位置偏移不能產生 差距低於預定最小i ’本方法進行到步驟1qa。必=說明 決定何時進行步驟10A ’例如,可 ^ ° J以由疋義執行步驟7-10 的循環次數決定,及如果在循環次數完成前尚未獲得人格 的結果,則進行步驟10A處理。或者,利用操作二二二二 決定何時進行步驟10A。 ' 如果SPIF產生輪廓圖案及SEM輪廓圖案充分相似(即, 滿足步驟9的標準值)’記錄SPIF及加權係數(步驟。必 須說明,本SPIF及相對加權係數代表已知成像 a丨私乐統的功能 資料格式的實際印刷性能。換言之,SPIF及加權係數代表 一成像性能的模型。如此’可以用來預定及補償各種光鄰 近效應可則降低整個印刷性能。 參考步驟11,必須說明重復前面的處理直到有充分數量 的SEM影像完全配合相同模式參數。這非常重要因為所有 設計圖案必須通過相同Ο P C處理才能在相同曝光設定下 達到一最佳CD控制。較理想’比較51>^產生輪廓sem影 像數量足以隔離所有在一已知半導體晶片光罩設計可能 遇到的圖案。預定相同CXi用於所有特徵節矩範圍及每個經 過過佳化迴路的特徵型式,如果ai不滿意,即是模型性能 具有完成的差距大於一預定公差(例如,預定CD伯差距大 於4 %的目標c D,最佳化迴路必須容許增加「η」或改變ψ 的不同形式,及從步驟# 1重新開始。 上述方法的說明稱為模型訓練。相同SPIF函數組及相對 -22 - 1228642 v } 發癒隸明磺買 加權份數可充分配入々 ^ °各種光罩幾何形的,例如具有不同卽 距、特徵型式、特柃σ 一 微尺寸及方向,等。一旦預定光阻輪廓 的Κ驗杈型己經完成適當訓練(步驟1 1 ),便可利用以預測 相似光罩圖案(參考「〇 p C方法」)例如,可以用來計算 處理光罩資料以執杆γ L仃抵型基礎光鄰近校正。例如,已知一 目^形狀例如原來光罩外形,計算移動光罩資料邊緣以 減少由訓練預測光阻輪廓及目標之間的差距。模型基礎 OPC變為-特別重要的方法,因為印刷特徵的尺寸約接近 或小於曝光波長。 这種結果的例子可利用圖2B、2C、3B、3C、4B及4(:所 列的方法獲得。較特別,使用前述方法,利用一組splF 及相對加權係數以配合圖2 A、3 a及4 A所示的三種情況的 SEM影像’其中圖2 a顯示一未校正密集線:空間圖案 (如’ 1 3 0 nm線在〜1 ·· 1線:空間);圖3 A顯示一未校正半隔 離線:空間圖案(如,13〇 nm線在〜1 :3.3線:空間);及圖4 A 顯示一未校正隔離線:空間圖案(如,13〇Ilin線在〜1:8.8線: 空間)°圖從利用一第一組S ρ ϊ f及相對加權係數產生的 2B、3B及4B顯示校正圖案分別相對圖2a、3A及4A,及從 利用一第二组SPIF及相對加權係數產生的2B、3B及4B顯 示「校正」圖案分別相對圖2A、3A及4A。一般,利用第 一組SPIF校正圖2B、3B及4B所示比利用第二組SPIF校正 圖2C、3C及4C所示具有較好的校正(即接近相配)。不過, 兩種校正都提供一未校正成像改善,因為所有r校正」的 影像的縱跡較接近要求的圖案。必須說明,圖2 a - 2 C出現 -23 - 1228642
發嚷説确續買I (19) 的圖案影像大於圖3A-4C因為比較圖3A-4C利用的標繪範 ®具有較小的標繪範圍。 如此,根據本發明,一旦決定SPIF ’代表已知成像系統 的實際性能,可以利用來自動修改(即校正)光罩設計致使 印刷在晶圓上面的完成影像較準確對應要求的影像。換言 之’本發明的方法能利用以自動提供OPC技術於光罩設 計’由根據決定的SPIF函數修改不同的圖案特徵而印刷。 必須進一步說明,設定差距標準值的一例子(如,步驟 9)為實際計算SEM(亮)及預測SPIF輪廓(灰)之間的祚重疊 像素。從SEM影像,可以導出各像素的實際尺寸。例如, 20 urn x2.0um面積具有480x480成像像素,各像素相當 於(4.16 nm)2。同時,也要說明130 nm技術世代,需要要 求的差距為一像素或更少。換言之,未重疊面積必須不大 於一像素寬。用於較細規格,可能要使用一較小校正範圍 具有相同成像像素數量,例如0.9 um X 0.9 um用於480 X 48〇像素。在後一情況,各像素代表(2 nm)2。 必須說明本發明的方法提供勝過先前藝的重要優點。例 如’利用自動抽取印刷晶圓圖案的2 D輪廓用於s PIF模型 校正及最佳化’以便能更真實表現該實際晶圓的特徵。另 外,利用實際模造己知成像系統的成像性能,便能自動作 作調整光罩設計以補償光學的鄰近效應及其他降低成像 性能的因數。較重要,本發明方法避免了需要某些較熟練 影像處理技藝者以便進行「反覆試驗」的方法校正光鄰近 效應或如一般由某些人進行勞力密集的ID SEM CD資料 • 24 - 1228642 (20) 收集。如此,本發明在時間及成本方面 生一耐用的光罩設計。另外,利用真 校正及最佳化的輸入,本發明利用許j 以描述真實晶圓特徵,及因而獲得更 果。 圖5顯示適合使用本發明的校正方法 裝置的示意圖。該裝置包括: -一放射系統EX、IL,用以提供一 特定狀況下,該放射系統也包括一輻身 -一第一物件台(光罩台)MT,具有支 主光罩)的一光罩支架,並與第一定位 項目PL精確定位光罩; -一第二物件台(基板台)WT,具有 一塗佈了光阻的矽晶圓)的一基板支架 置連接用於根據項目PL精確定位光罩; -一投影系統(「透鏡」)PL(例如一朝 折射光學系統)用於將光罩MA的一受 板W的目標部份C(例如包含一或更多個 如此處所描述,該裝置屬一透射型: 罩)之裝置。然而,一般而言,亦為一 有一反射光罩)。或者,本裝置利用其 替代使用光罩;例如包括一可程式反 陣。 輻射源LA(例如一水銀燈或一激光1 發_說觸續買 造成種大節省以產 實2D圖案輪廓作為 &「富裕」資料資訊 多準確模型參數結 的一微影蝕刻投影 投影光束PB ;在此 j·源 LA ; 、撐光罩MA(例如一 裝置連接用於根據 支撐一基板W(例如 ,並與第二定位裝 * ί射、一反射,或反 照射部份映射於基 晶模)上。 式(即具有一透射光 反射型式,例如(具 他種類的成型裝置 射鏡陣列或LCD矩 I射)產生一輻射光 -25 - 1228642 (21) 束。本光束直接地或在穿過調節裝置,例 EX,之後,射入一照射系統(照明器)IL。 調整裝置AM用以設定光束中強度分佈ί 或内徑向範圍(一般分別稱為外cr及内σ 括各種其他組件,例如一積合器IN及一 情況,衝擊罩幕MA的光束PB的斷面具有 及強度分佈。 關於圖5應該說明的是放射源L A可位 裝置的外殼中(當放射源L A是,例如,7jc 如此),但它亦可以遠離微影蝕刻投影裝 射光束則被導入裝置中(例如借助反射鏡 面的情況,通常源LA為一準分子雷射(如 F 2激光)。本發明包含這兩種情況。 光束PB順序遮斷光罩MA,該光罩則[ 上。橫過光罩MA,光束PB經過透鏡PL以 板W的目標部份C上面。借助第二定位裝 裝置IF ),基板台WT可以準確移動,如在 位不同目標部份C。同樣地,可用第一定 P B的路徑精確定位光罩Μ A,例如,自光 出光罩MA之後,或在掃描當中。總之, 組(粗定位)及一短行程模組(細定位) 可完成移動,圖23並未顯示。不過,如果;^ 與步進掃描裝置相反)光罩台MT可連接 或被固定。 如一光束擴張器 照明器IL可包含 而外徑向範圍及/ )。另外,一般包 凝結器C0。這種 一理想的均勻度 於微影蝕刻投影 銀燈時,通常是 置,所產生之放 面適當導向);後 根據KrF、ArF或 ϋ定在光罩台MT 聚焦光束Ρ Β於基 置(及干涉測量 光束ΡΒ路徑上定 位裝置根據光束 罩庫機械性地取 借助一長行程模 物件台MT,WT ^晶圓步進器(如 一短行程起動器 -26- 1228642 (22)
上述工具可用於兩種不同模式中: -在步進模式中,光罩台MT基本上保持固定,及整個光 罩影像一次(即,一單「閃光」)投射在目標部份C上面。 然後在X及/或y方向移動基板台WT致使光束PB可以照射 不同的目標部份C ; -在掃描模式中,基本上適用相同的狀況,但已知目標 部份C並非於單一「閃光」中曝光。代之,光罩台MT卻可 在一已知方向(所謂的「掃描方向」,例如y方向)以一速度 v移動,致使投影光束 PB 掃描通過一光罩影像,同時基 板台WT則與之同向或反向以速度V = Mv移動,其中Μ 為 透鏡PL的放大倍率(典型地,Μ = 1/4或1/5)。如此,可曝 光一相當大區域的目標部份C而不需犧牲解析度。 本最佳化的另一變化為可用於校正2 D光阻模擬預測及 2D蝕刻圖案預測。 雖然本發明的一些特別具體實施例已經說明,但必須說 明本發明可用其他型式實施而不背離其精神及基本特 徵。因此,該等具體實施例可以視為示範而非限制本發 明,而本發明之範圍乃由所附之申請專利申請來界定,因 此,所有在相當於申請專利範圍及其意義内的改變也涵蓋 在内。 圖式代表符號說明 12 掃描電子顯微鏡輪廓 14 間隙 AM 調整裝置 -27- 1228642 (23) C 目標部份 CO 凝結器 EX 放射系統 IF 干擾測量裝置 IN 積合器 IL 照明器 LA 放射源 MA 光罩 MT 光罩台 PB 投影光束 PL 透鏡 W 基板 WT 基板台 發嚷説磷續i
-28 -
Claims (1)
1228642
|294號申請案 專利範圍替換本(939m^ 拾、申請專利範圍 1 . 一種產生用於一成像系統的一校正模型的方法,該方法 包括下列步驟: 定義一組校正圖案,該等校正圖案以一資料格式表 利用該成像系統將該校正圖案印製於一基板上; 決定一第一組對應於成像在該基板上的該等校正圖 案的輪廓圖案; 產生一系統假強度函數,該系統假強度函數約為該成 像系統的成像性能; 利用該系統假強度函數決定一第二組輪廓圖案,以定 義如何將該校正圖案成像至該基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定 該第一組輪廓圖案及該第二組輪廓圖案之間的差距;及 調整該系統假強度函數,直到該第一組輪廓圖案及該 第二組輪廓圖案之間的差距低於一預定標準。 2 ·如申請專利範圍第1項之方法,其中用來表示該校正圖 案的資料格式為一多角形資料格式。 3 .如申請專利範圍第1項之方法,其中該決定一第一組輪 廓圖案相對該校正圖案步驟包括下列步驟: 利用一掃描電子顯微鏡記錄在該基板上形成的該等 校正圖案,及 測量該校正圖案以便決定該等校正圖案的一二維輪 1228642
廓,該等校正圖案的二維輪廓對應於該第一組輪廓圖 案。 4.如申請專利範圍第1項之方法,其中該決定一第一組輪 廓圖案之步驟包括利用至少一多角形來趨近該第一組 輪廓圖案之每一輪廓圖案。 5 .如申請專利範圍第3項之方法,其中該等校正圖案的記 錄包括成像對應於該等校正圖案的光阻圖案。 6 .如申請專利範圍第3項之方法,進一步包括轉換該第一 組輪廓圖案成為一多角形資料格式之步驟。 7 .如申請專利範圍第3項之方法,其中測量該等校正圖案 之步驟包括臨限藉由該掃描電子顯微鏡所產生之成 像,以產生該等校正圖案之二維輪廓。 8 ·如申請專利範圍第1項之方法,其中該等校正圖案代表 要被用在一半導體裝置的特徵。 9 .如申請專利範圍第6項之方法,其中該第二組輪廓圖案 為該多角形資料格式。 10.—種產生一微影蝕刻光罩用的方法,用於利用一成像系 統將該光罩内形成的一圖案光學地轉移至一基板上,該 方法包括下列步驟: 定義一組校正圖案,該等校正圖案以一資料格式表 示; 利用該成像系統將該校正圖案印製於一基板上; 決定一第一組對應於成像在該等基板上的該等校正 圖案的輪廓圖案; 1228642
產生一系統假強度函數,該系統假強度函數約為該成 像系統的成像性能; 利用該糸統假強度函數決定一第二組輪庸圖案’以定 義如何將該校正圖案成像至該基板;
比較該第一組輪廓圖案及該第二組輪廓圖案,以決定 該第一組輪廓圖案及該第二組輪廓圖案之間的差距; 調整該系統假強度函數,直到該第一組輪廓圖案及該 第二組輪廓圖案之間的差距低於一預定標準;及 利用該調整的系統假強度函數修改該光罩以便提供 光學的鄰近校正。 11. 如申請專利範圍第1 〇項之方法,其中用來表示該校正圖 案的資料格式為一多角形資料格式。 12. 如申請專利範圍第1 0項之方法,其中該決定一第一組對 應於該校正圖案的輪廓圖案的步驟包括下列步驟:
利用一掃描電子顯微鏡記錄在該基板上形成的該等 校正圖案,及 測量該校正圖案以便決定該等校正圖案的一二維輪 廓,該等校正圖案的二維輪廓對應於該第一組輪廓圖 案。 13. 如申請專利範圍第1 0項之方法,其中該決定一第一組輪 廓圖案之步驟包括利用至少一多角形來趨近該第一組 輪廓圖案之每一輪廓圖案。 14. 如申請專利範圍第1 2項之方法,其中該等校正圖案的記 錄包括成像對應於該校正圖案的光阻圖案。 1228642
15. 如申請專利範圍第1 2項之方法,進一步包括轉換該第一 組輪廓圖案成為一多角形資料格式之步驟。 16. 如申請專利範圍第1 2項之方法,其中測量該等校正圖案 之步驟包括臨限藉由該掃描電子顯微鏡所產生之成 像,以產生該等校正圖案之二維輪廓。 17. 如申請專利範圍第1 0項之方法,其中該等校正圖案代表 要用於一半導體裝置的特徵。
18. 如申請專利範圍第1 5項之方法,其中該第二組輪廓圖案 為該多角形資料格式。 19. 一種包含一電腦程式之電腦可讀取記錄媒體,用於控制 一電腦,該電腦包括記錄在該電腦可讀取記錄媒體上的 裝置,用於引導該電腦產生一用於產生一光罩的校正模 型,用於將該光罩上形成的一圖案光學地轉移至一基板 上,該產生校正模型包括下列步驟: 定義一組校正圖案,該等校正圖案以一資料格式表
示; 決定一第一組對應於成像在該基板上的該等校正圖 案的輪廓圖案; 產生一系統假強度函數’該假強度函數約為該成像系 統的成像性能; 利用該系統假強度函數決定一第二組輪廓圖案,以定 義如何將該等校正圖案成像至該基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定 該第一組輪廓圖案及該第二組輪廓圖案之間的差距;及 -4- 1228642
調整該系統假強度函數,直到該第一組輪廓圖案及該 第二組輪廓圖案之間的差距低於一預定標準。 20. 如申請專利範圍第1 9項之方法,其中決定一第一組輪廓 圖案包括利用至少一多角形來趨近該第一組輪廓圖案 之每一輪廓圖案。 21. —種用於控制一電腦且包含一電腦程式之電腦可讀取 記錄媒體,該電腦包括記錄在該電腦可讀取記錄媒體上 用於引導電腦產生一光罩的裝置,用於將該光罩上形成 的一圖案光學地轉移至一基板上,該產生光罩包括下列 步驟: 定義一組校正圖案,該等校正圖案以一資料格式表 示; 決定一第一組對應於成像在該基板上的該等校正圖 案的輪廓圖案; 產生一系統假強度函數’該糸統假強度函數約為該成 像系統的成像性能; 利用該系統假強度函數決定一第二組輪廓圖案,以定 義如何將該校正圖案成像至該基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定 該第一組輪廓圖案及該第二組輪廓圖案之間的差距; 調整該系統假強度函數,直到該第一組輪廓圖案及該 第二組輪廓圖案之間的差距低於一預定標準;及 利用該調整的系統假強度函數修改該光罩以便提供 光學的鄰近校正。 1228642
22. 如申請專利範圍第2 0項之電腦可讀取記錄媒體,其中決 定一第一組輪廓圖案包括利用至少一多角形來趨近該 第一組輪廓圖案之每一輪廓圖案。 23. —種裝置之製造方法,包括下列步驟: (a)提供至少部份由一層對輻射敏感的材料所覆蓋之一 基板; ⑼使用一成像系統提供一放射投影光束; (c) 使用一光罩上的一圖案以賦予投影光束的斷面具有 一圖案; (d) 將成型之輻射光束投影至輻射敏感材料層之一目標 部份, 其中,在步驟(c),該光罩係由一種方法形成,包括下 列步驟: 定義一組校正圖案,該等校正圖案以一資料格式表 不 , 利用該成像系統將該校正圖案印製於一試驗基板上; 決定一第一組對應於在該試驗基板上的該等校正圖 案的輪廓圖案; 產生一系統假強度函數,該系統假強度函數約為該成 像系統的成像性能; 利用該糸統假強度函數決定一第二組輪靡圖案,以定 義如何將該校正圖案成像至該試驗基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定 該第一組輪廓圖案及該第二組輪廓圖案之間的差距;
1228642 調整該系統假強度函數,直到該第一組輪廓圖案及該 第二組輪廓圖案之間的差距低於一預定標準;及 利用該調整的系統假強度函數修改該光罩以便提供 光學的鄰近校正。 24. 如申請專利範圍第1項之方法,其中該調整該系統假強 度函數的步驟包括調整一與該系統假強度函數有關的 加權係數。 25. 如申請專利範圍第1項之方法,其中該調整該系統假強 度函數的步驟包括調整產生一新系統假強度函數。 26. 如申請專利範圍第1 0項之方法,其中該調整該系統假強 度函數的步驟包括調整一與該系統假強度函數有關的 加權係數。 27. 如申請專利範圍第1 0項之方法,其中該調整該系統假強 度函數的步驟包括調整產生一新系統假強度函數。 28. 如申請專利範圍第1項之方法,進一步包括下列步驟: 藉由比較該第一組輪廓圖案中所含特徵與該等校正 圖案中所含對應特徵而決定任何的位置偏移及比例因 數,及 補償該等任何位置偏移及比例因數。 29. 如申請專利範圍第1項之方法,進一步包括下列步驟: 藉由比較該第一組輪廓圖案中所含特徵與該等校正 圖案中所含對應特徵決定任何的位置偏移及比例因 數,及 補償該等任何位置偏移及比例因數。 1228642
30. 如申請專利範圍第1 0項之方法,進一步包括下列步驟: 藉由比較該第一組輪廓圖案中所含特徵與該等校正 圖案中所含對應特徵決定任何的位置偏移及比例因 數,及 補償該等任何位置偏移及比例因數。 31. 如申請專利範圍第1 〇項之方法,進一步包括下列步驟: 藉由比較該第一組輪廓圖案中所含特徵與該等校正 圖案中所含對應特徵決定任何的位置偏移及比例因 數,及 補償該等任何位置偏移及比例因數。 32. —種執行基於模型之光學鄰近校正之方法,該方法包括 下列步驟: 定義一組校正圖案,該等校正圖案係以一資料格式表 示; 利用該成像系統將該等校正圖案印製於一基板上; 決定一第一組對應於在該基板上的該等校正圖案的輪 廓圖案; 產生一系統假強度函數,該系統假強度函數趨近該成像 糸統的成像性能, 利用該糸統假強度函數決定一弟二組輪廊圖案’以定義 如何將該校正圖案成像至該基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定該 第一組輪廓圖案及該第二組輪廓圖案之間的差距; 調整該系統假強度函數,直到該第一組輪廓圖案及該第 1228642
二組輪廓圖案之間的差距低於一預定標準;及 利用該調整的系統假強度函數模擬一目標光罩之成像 結果並修改該目標光罩設計,以便使利用該調整的系統 假強度函數之模擬所得到的成像結果對應至一定義的 容限内之目標光罩設計,該修改的目標光罩設計代表用 於製造該所需圖案之光罩設計。 33。一種包含一電腦程式之電腦可讀取記錄媒體,用於控制 一電腦以產生一光罩,用於將該光罩上形成的一圖案光 學地轉移至一基板上,以及用於將光學鄰近校正技術應 用至該光罩圖案,該光罩之產生包括下列步驟: 定義一組校正圖案,該等校正圖案以一資料格式表示; 利用該成像系統將該校正圖案印製於一基板上; 決定一第一組對應於在該基板上的該等校正圖案的輪 廓圖案; 產生一系統假強度函數,該系統假強度函數約為該成像 系統的成像性能; 利用該糸統假強度函數決定一第·一組輪廊圖案,以定義 如何將該校正圖案成像至該基板; 比較該第一組輪廓圖案及該第二組輪廓圖案,以決定該 第一組輪廓圖案及該第二組輪廓圖案之間的差距; 調整該系統假強度函數,直到該第一組輪廓圖案及該第 二組輪廓圖案之間的差距低於一預定標準;及 利用該調整的系統假強度函數模擬一目標光罩之成像 結果並修改該目標光罩設計,以便使利用該調整的系統 1228642 假強度函數之模擬所得到的成像結果對應至一定義的 容限内之目標光罩設計,該修改的目標光罩設計代表用 於製造該所需圖案之光罩設計。
-10-
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US32730201P | 2001-10-09 | 2001-10-09 |
Publications (1)
Publication Number | Publication Date |
---|---|
TWI228642B true TWI228642B (en) | 2005-03-01 |
Family
ID=23275995
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW091123294A TWI228642B (en) | 2001-10-09 | 2002-10-09 | Method of two dimensional feature model calibration and optimization |
Country Status (6)
Country | Link |
---|---|
US (2) | US7175940B2 (zh) |
EP (1) | EP1329771B1 (zh) |
JP (1) | JP3706364B2 (zh) |
KR (1) | KR100576752B1 (zh) |
DE (1) | DE60214506T2 (zh) |
TW (1) | TWI228642B (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102789126A (zh) * | 2011-03-02 | 2012-11-21 | 株式会社东芝 | 光掩模及其制造方法 |
TWI456358B (zh) * | 2011-09-22 | 2014-10-11 | Nanya Technology Corp | 從曝光結果改進光學鄰近模擬的方法 |
TWI688829B (zh) * | 2014-02-20 | 2020-03-21 | 美商克萊譚克公司 | 用於基於影像之疊對量測之信號回應計量 |
CN111077726A (zh) * | 2018-10-19 | 2020-04-28 | 三星电子株式会社 | 光学邻近校正方法和使用其制造光刻掩模的方法 |
Families Citing this family (150)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030192015A1 (en) * | 2002-04-04 | 2003-10-09 | Numerical Technologies, Inc. | Method and apparatus to facilitate test pattern design for model calibration and proximity correction |
SG120106A1 (en) * | 2002-07-26 | 2006-03-28 | Asml Masktools Bv | Automatic optical proximity correction (OPC) rule generation |
SG137657A1 (en) * | 2002-11-12 | 2007-12-28 | Asml Masktools Bv | Method and apparatus for performing model-based layout conversion for use with dipole illumination |
US6768958B2 (en) * | 2002-11-26 | 2004-07-27 | Lsi Logic Corporation | Automatic calibration of a masking process simulator |
US6839125B2 (en) * | 2003-02-11 | 2005-01-04 | Asml Netherlands B.V. | Method for optimizing an illumination source using full resist simulation and process window response metric |
US7030966B2 (en) * | 2003-02-11 | 2006-04-18 | Asml Netherlands B.V. | Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations |
US7245356B2 (en) * | 2003-02-11 | 2007-07-17 | Asml Netherlands B.V. | Lithographic apparatus and method for optimizing illumination using a photolithographic simulation |
US7180576B2 (en) * | 2003-02-11 | 2007-02-20 | Asml Netherlands B.V. | Exposure with intensity balancing to mimic complex illuminator shape |
US7471375B2 (en) * | 2003-02-11 | 2008-12-30 | Asml Netherlands B.V. | Correction of optical proximity effects by intensity modulation of an illumination arrangement |
US7149999B2 (en) * | 2003-02-25 | 2006-12-12 | The Regents Of The University Of California | Method for correcting a mask design layout |
DE10316821A1 (de) * | 2003-04-03 | 2004-10-21 | Infineon Technologies Ag | Verfahren und Vorrichtung zur Korrektur von Abbildungsfehlern eines optischen Systems sowie eine Verwendung der Vorrichtung |
TWI244590B (en) * | 2003-06-30 | 2005-12-01 | Taiwan Semiconductor Mfg | System and method for reticle field layout design advanced features are not supported in freeware version |
DE602004011860T2 (de) * | 2003-09-05 | 2009-02-12 | Asml Masktools B.V. | Methode und Vorrichtung für modellgestützte Plazierung phasenbalancierter Hilfsstrukturen für optische Lithographie mit Auflösungsgrenzen unterhalb der Belichtungswellenlänge |
EP1528429A3 (en) * | 2003-10-31 | 2006-04-12 | ASML MaskTools B.V. | Feature optimization of reticle structures using enhanced interference mapping |
US7398508B2 (en) | 2003-11-05 | 2008-07-08 | Asml Masktooks B.V. | Eigen decomposition based OPC model |
SG125970A1 (en) * | 2003-12-19 | 2006-10-30 | Asml Masktools Bv | Feature optimization using interference mapping lithography |
US7506299B2 (en) * | 2003-12-19 | 2009-03-17 | Asml Holding N.V. | Feature optimization using interference mapping lithography |
US7400670B2 (en) | 2004-01-28 | 2008-07-15 | Rambus, Inc. | Periodic calibration for communication channels by drift tracking |
US8422568B2 (en) | 2004-01-28 | 2013-04-16 | Rambus Inc. | Communication channel calibration for drift conditions |
US7095789B2 (en) | 2004-01-28 | 2006-08-22 | Rambus, Inc. | Communication channel calibration for drift conditions |
KR100824031B1 (ko) * | 2004-01-30 | 2008-04-21 | 에이에스엠엘 마스크툴즈 비.브이. | 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법 |
US7342646B2 (en) * | 2004-01-30 | 2008-03-11 | Asml Masktools B.V. | Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model |
US6961862B2 (en) | 2004-03-17 | 2005-11-01 | Rambus, Inc. | Drift tracking feedback for communication channels |
JP4512395B2 (ja) * | 2004-03-30 | 2010-07-28 | 株式会社日立ハイテクノロジーズ | 露光プロセスモニタ方法及びその装置 |
US7148496B2 (en) * | 2004-04-13 | 2006-12-12 | Massachusetts Institute Of Technology | System and method for proximity effect correction in imaging systems |
US7500218B2 (en) | 2004-08-17 | 2009-03-03 | Asml Netherlands B.V. | Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same |
US7418693B1 (en) | 2004-08-18 | 2008-08-26 | Cadence Design Systems, Inc. | System and method for analysis and transformation of layouts using situations |
CN1800971A (zh) | 2004-09-14 | 2006-07-12 | Asml蒙片工具有限公司 | 一种用于实施全芯片制造可靠性检查和校正的方法 |
JP4593236B2 (ja) * | 2004-10-29 | 2010-12-08 | 株式会社日立ハイテクノロジーズ | 寸法計測走査型電子顕微鏡システム並びに回路パターン形状の評価システム及びその方法 |
US7713667B2 (en) | 2004-11-30 | 2010-05-11 | Asml Holding N.V. | System and method for generating pattern data used to control a pattern generator |
US9070623B2 (en) * | 2004-12-15 | 2015-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling gate formation for high density cell layout |
JP4916116B2 (ja) * | 2005-02-01 | 2012-04-11 | 株式会社ホロン | パターン特定方法およびパターン特定装置 |
US7730432B1 (en) | 2005-03-30 | 2010-06-01 | Tela Innovations, Inc. | Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective |
JP5225676B2 (ja) | 2005-04-26 | 2013-07-03 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法 |
US7349066B2 (en) * | 2005-05-05 | 2008-03-25 | Asml Masktools B.V. | Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence |
US8490043B2 (en) | 2005-05-06 | 2013-07-16 | Tela Innovations, Inc. | Standard cells having transistors annotated for gate-length biasing |
US7441211B1 (en) | 2005-05-06 | 2008-10-21 | Blaze Dfm, Inc. | Gate-length biasing for digital circuit optimization |
US7224437B2 (en) * | 2005-05-31 | 2007-05-29 | Invarium, Inc | Method for measuring and verifying stepper illumination |
JP4828870B2 (ja) * | 2005-06-09 | 2011-11-30 | 株式会社東芝 | 評価パタンの作成方法およびプログラム |
CN101258498B (zh) * | 2005-08-08 | 2011-04-13 | Asml荷兰有限公司 | 用于形成光刻工艺的焦点曝光模型的系统和方法 |
US20070046917A1 (en) * | 2005-08-31 | 2007-03-01 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU |
KR100642417B1 (ko) * | 2005-09-20 | 2006-11-03 | 주식회사 하이닉스반도체 | 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법 |
US7962868B2 (en) | 2005-10-28 | 2011-06-14 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device using optical proximity correction for the optical lithography |
US7570796B2 (en) | 2005-11-18 | 2009-08-04 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US20070143234A1 (en) * | 2005-12-16 | 2007-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for intelligent model-based optical proximity correction (OPC) |
KR100741879B1 (ko) * | 2005-12-29 | 2007-07-23 | 동부일렉트로닉스 주식회사 | 반도체 소자의 설계 방법 |
US7493589B2 (en) | 2005-12-29 | 2009-02-17 | Asml Masktools B.V. | Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process |
US7405402B1 (en) * | 2006-02-21 | 2008-07-29 | Kla-Tencor Technologies Corporation | Method and apparatus for aberration-insensitive electron beam imaging |
US9563733B2 (en) | 2009-05-06 | 2017-02-07 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US8247846B2 (en) * | 2006-03-09 | 2012-08-21 | Tela Innovations, Inc. | Oversized contacts and vias in semiconductor chip defined by linearly constrained topology |
US7446352B2 (en) * | 2006-03-09 | 2008-11-04 | Tela Innovations, Inc. | Dynamic array architecture |
US9009641B2 (en) | 2006-03-09 | 2015-04-14 | Tela Innovations, Inc. | Circuits with linear finfet structures |
US7956421B2 (en) | 2008-03-13 | 2011-06-07 | Tela Innovations, Inc. | Cross-coupled transistor layouts in restricted gate level layout architecture |
US8653857B2 (en) * | 2006-03-09 | 2014-02-18 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US8225239B2 (en) * | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining and utilizing sub-resolution features in linear topology |
US7763534B2 (en) | 2007-10-26 | 2010-07-27 | Tela Innovations, Inc. | Methods, structures and designs for self-aligning local interconnects used in integrated circuits |
US7943967B2 (en) * | 2006-03-09 | 2011-05-17 | Tela Innovations, Inc. | Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments |
US7932545B2 (en) | 2006-03-09 | 2011-04-26 | Tela Innovations, Inc. | Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers |
US9035359B2 (en) | 2006-03-09 | 2015-05-19 | Tela Innovations, Inc. | Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods |
US8448102B2 (en) | 2006-03-09 | 2013-05-21 | Tela Innovations, Inc. | Optimizing layout of irregular structures in regular layout context |
US8839175B2 (en) | 2006-03-09 | 2014-09-16 | Tela Innovations, Inc. | Scalable meta-data objects |
US8541879B2 (en) | 2007-12-13 | 2013-09-24 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US8658542B2 (en) | 2006-03-09 | 2014-02-25 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US8245180B2 (en) * | 2006-03-09 | 2012-08-14 | Tela Innovations, Inc. | Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same |
US9230910B2 (en) | 2006-03-09 | 2016-01-05 | Tela Innovations, Inc. | Oversized contacts and vias in layout defined by linearly constrained topology |
US8225261B2 (en) * | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining contact grid in dynamic array architecture |
US20070226674A1 (en) * | 2006-03-27 | 2007-09-27 | Henning Haffner | System and method for semiconductor device fabrication using modeling |
EP2267530A1 (en) | 2006-04-06 | 2010-12-29 | ASML MaskTools B.V. | Method and apparatus for performing dark field double dipole lithography |
KR100801738B1 (ko) * | 2006-06-28 | 2008-02-11 | 주식회사 하이닉스반도체 | 포토마스크 및 그 형성방법 |
US8792147B2 (en) * | 2006-08-14 | 2014-07-29 | Asml Netherlands B.V. | Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout |
US8370773B2 (en) | 2006-08-16 | 2013-02-05 | Freescale Semiconductor, Inc. | Method and apparatus for designing an integrated circuit using inverse lithography technology |
US8521481B2 (en) * | 2006-08-30 | 2013-08-27 | Asml Masktools B.V. | Method, program product and apparatus for modeling resist development of a lithography process |
US8045786B2 (en) * | 2006-10-24 | 2011-10-25 | Kla-Tencor Technologies Corp. | Waferless recipe optimization |
US7624369B2 (en) * | 2006-10-31 | 2009-11-24 | International Business Machines Corporation | Closed-loop design for manufacturability process |
US8305432B2 (en) * | 2007-01-10 | 2012-11-06 | University Of Washington | Scanning beam device calibration |
US8103086B2 (en) | 2007-01-11 | 2012-01-24 | Kla-Tencor Corporation | Reticle defect inspection with model-based thin line approaches |
US8611637B2 (en) | 2007-01-11 | 2013-12-17 | Kla-Tencor Corporation | Wafer plane detection of lithographically significant contamination photomask defects |
US7873204B2 (en) * | 2007-01-11 | 2011-01-18 | Kla-Tencor Corporation | Method for detecting lithographically significant defects on reticles |
JP5009377B2 (ja) * | 2007-01-15 | 2012-08-22 | ヒューマンアイズ テクノロジーズ リミテッド | レンチキュラ印刷のための方法およびシステム |
US8286107B2 (en) * | 2007-02-20 | 2012-10-09 | Tela Innovations, Inc. | Methods and systems for process compensation technique acceleration |
US7979829B2 (en) | 2007-02-20 | 2011-07-12 | Tela Innovations, Inc. | Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods |
JP5202546B2 (ja) * | 2007-02-25 | 2013-06-05 | ヒューマンアイズ テクノロジーズ リミテッド | マルチ画像表示を較正および/または視覚化しかつゴーストアーチファクトを低減するためのするための方法およびシステム |
US8667443B2 (en) | 2007-03-05 | 2014-03-04 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US7888705B2 (en) | 2007-08-02 | 2011-02-15 | Tela Innovations, Inc. | Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same |
US9035968B2 (en) * | 2007-07-23 | 2015-05-19 | Humaneyes Technologies Ltd. | Multi view displays and methods for producing the same |
US7999920B2 (en) | 2007-08-22 | 2011-08-16 | Asml Netherlands B.V. | Method of performing model-based scanner tuning |
CN101399244B (zh) * | 2007-09-26 | 2011-05-18 | 力晶半导体股份有限公司 | 电路结构及用以定义此电路结构的光掩模 |
US8453094B2 (en) | 2008-01-31 | 2013-05-28 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US7939443B2 (en) | 2008-03-27 | 2011-05-10 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US20100082313A1 (en) * | 2008-03-31 | 2010-04-01 | Edita Tejnil | Optical Lithographic Process Model Calibration |
US20090288867A1 (en) * | 2008-04-15 | 2009-11-26 | Powerchip Semiconductor Corp. | Circuit structure and photomask for defining the same |
JP2009271261A (ja) * | 2008-05-02 | 2009-11-19 | Powerchip Semiconductor Corp | 回路構造とそれを定義するためのフォトマスク |
US20090276192A1 (en) * | 2008-05-05 | 2009-11-05 | Uri Avraham | Method and Computer Program Product for Visualizing Feature Model Information |
FR2931292A1 (fr) * | 2008-05-15 | 2009-11-20 | St Microelectronics Rousset | Procede de controle en temps reel de la fabrication de circuits integres a l'aide de structures de controle localisees dans l'espace modele opc |
US8381152B2 (en) | 2008-06-05 | 2013-02-19 | Cadence Design Systems, Inc. | Method and system for model-based design and layout of an integrated circuit |
KR101739709B1 (ko) | 2008-07-16 | 2017-05-24 | 텔라 이노베이션스, 인코포레이티드 | 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현 |
KR101841897B1 (ko) | 2008-07-28 | 2018-03-23 | 케이엘에이-텐코어 코오포레이션 | 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들 |
US9122832B2 (en) | 2008-08-01 | 2015-09-01 | Tela Innovations, Inc. | Methods for controlling microloading variation in semiconductor wafer layout and fabrication |
US8103983B2 (en) * | 2008-11-12 | 2012-01-24 | International Business Machines Corporation | Electrically-driven optical proximity correction to compensate for non-optical effects |
JP4862096B2 (ja) * | 2008-12-26 | 2012-01-25 | 株式会社アドバンテスト | パターン測定装置及びパターン測定方法 |
US8775101B2 (en) | 2009-02-13 | 2014-07-08 | Kla-Tencor Corp. | Detecting defects on a wafer |
US8620643B1 (en) | 2009-07-31 | 2013-12-31 | Lester F. Ludwig | Auditory eigenfunction systems and methods |
JP2011082243A (ja) * | 2009-10-05 | 2011-04-21 | Panasonic Corp | 部品実装装置および部品実装装置における実装状態検査方法 |
US8661392B2 (en) | 2009-10-13 | 2014-02-25 | Tela Innovations, Inc. | Methods for cell boundary encroachment and layouts implementing the Same |
US8108805B2 (en) * | 2010-03-26 | 2012-01-31 | Tokyo Electron Limited | Simplified micro-bridging and roughness analysis |
US8781781B2 (en) | 2010-07-30 | 2014-07-15 | Kla-Tencor Corp. | Dynamic care areas |
US9159627B2 (en) | 2010-11-12 | 2015-10-13 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
US20120128228A1 (en) * | 2010-11-18 | 2012-05-24 | D2S, Inc. | Method for Matching of Patterns |
KR101703745B1 (ko) * | 2010-12-17 | 2017-02-08 | 삼성전자 주식회사 | 캘리브레이션 패턴을 이용한 포토마스크 형성 방법 및 캘리브레이션 패턴을 포함하는 포토마스크 |
US9170211B2 (en) | 2011-03-25 | 2015-10-27 | Kla-Tencor Corp. | Design-based inspection using repeating structures |
US9087367B2 (en) | 2011-09-13 | 2015-07-21 | Kla-Tencor Corp. | Determining design coordinates for wafer defects |
EP2570854B1 (en) | 2011-09-16 | 2016-11-30 | Imec | Illumination-source shape definition in optical lithography |
NL2009336A (en) * | 2011-09-21 | 2013-03-25 | Asml Netherlands Bv | Method for calibrating a manufacturing process model. |
US8832621B1 (en) | 2011-11-28 | 2014-09-09 | Cadence Design Systems, Inc. | Topology design using squish patterns |
US8831334B2 (en) | 2012-01-20 | 2014-09-09 | Kla-Tencor Corp. | Segmentation for wafer inspection |
US8464193B1 (en) | 2012-05-18 | 2013-06-11 | International Business Machines Corporation | Optical proximity correction (OPC) methodology employing multiple OPC programs |
US8826200B2 (en) | 2012-05-25 | 2014-09-02 | Kla-Tencor Corp. | Alteration for wafer inspection |
CN103472672B (zh) * | 2012-06-06 | 2016-01-06 | 中芯国际集成电路制造(上海)有限公司 | 校正光学邻近校正模型的方法 |
US9189844B2 (en) * | 2012-10-15 | 2015-11-17 | Kla-Tencor Corp. | Detecting defects on a wafer using defect-specific information |
US9053527B2 (en) | 2013-01-02 | 2015-06-09 | Kla-Tencor Corp. | Detecting defects on a wafer |
US9134254B2 (en) | 2013-01-07 | 2015-09-15 | Kla-Tencor Corp. | Determining a position of inspection system output in design data space |
US9311698B2 (en) | 2013-01-09 | 2016-04-12 | Kla-Tencor Corp. | Detecting defects on a wafer using template image matching |
JP2014143254A (ja) * | 2013-01-22 | 2014-08-07 | Canon Inc | 描画データの生成方法、処理装置、プログラム、描画装置及び物品製造方法 |
WO2014149197A1 (en) | 2013-02-01 | 2014-09-25 | Kla-Tencor Corporation | Detecting defects on a wafer using defect-specific and multi-channel information |
US9865512B2 (en) | 2013-04-08 | 2018-01-09 | Kla-Tencor Corp. | Dynamic design attributes for wafer inspection |
US9310320B2 (en) | 2013-04-15 | 2016-04-12 | Kla-Tencor Corp. | Based sampling and binning for yield critical defects |
US9449196B1 (en) | 2013-04-22 | 2016-09-20 | Jasper Design Automation, Inc. | Security data path verification |
CN103676464B (zh) * | 2014-01-07 | 2016-10-26 | 上海华虹宏力半导体制造有限公司 | 建模用光刻图形及其量测方法 |
CN103777460A (zh) * | 2014-03-04 | 2014-05-07 | 上海集成电路研发中心有限公司 | 一种提高光学邻近效应修正模型精度的方法 |
US9547892B2 (en) | 2014-05-06 | 2017-01-17 | Kla-Tencor Corporation | Apparatus and methods for predicting wafer-level defect printability |
US9478019B2 (en) | 2014-05-06 | 2016-10-25 | Kla-Tencor Corp. | Reticle inspection using near-field recovery |
US10074036B2 (en) * | 2014-10-21 | 2018-09-11 | Kla-Tencor Corporation | Critical dimension uniformity enhancement techniques and apparatus |
US10395361B2 (en) | 2015-08-10 | 2019-08-27 | Kla-Tencor Corporation | Apparatus and methods for inspecting reticles |
JP6840129B2 (ja) | 2015-08-10 | 2021-03-10 | ケーエルエー コーポレイション | ウエハレベル欠陥の転写性を予測する装置および方法 |
US9984920B2 (en) * | 2016-07-11 | 2018-05-29 | International Business Machines Corporation | Design-aware pattern density control in directed self-assembly graphoepitaxy process |
KR102399364B1 (ko) | 2016-10-04 | 2022-05-18 | 도쿄엘렉트론가부시키가이샤 | 기판 제조 동안의 피처 토포그래피에 대한 스핀 코트 평탄화의 용이화 |
WO2018125220A1 (en) * | 2016-12-30 | 2018-07-05 | Intel Corporation | Systems, methods, and apparatuses for implementing opc modeling via machine learning on simulated 2d optical images for sed and post sed processes |
US10120973B2 (en) * | 2017-03-15 | 2018-11-06 | Applied Materials Israel Ltd. | Method of performing metrology operations and system thereof |
US10522322B2 (en) | 2017-04-13 | 2019-12-31 | Fractilia, Llc | System and method for generating and analyzing roughness measurements |
US10176966B1 (en) | 2017-04-13 | 2019-01-08 | Fractilia, Llc | Edge detection system |
US12142454B2 (en) | 2017-04-13 | 2024-11-12 | Fractilla, LLC | Detection of probabilistic process windows |
US11380516B2 (en) | 2017-04-13 | 2022-07-05 | Fractilia, Llc | System and method for generating and analyzing roughness measurements and their use for process monitoring and control |
KR102415583B1 (ko) | 2017-06-30 | 2022-07-04 | 삼성전자주식회사 | Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법 |
US10293601B2 (en) * | 2017-07-11 | 2019-05-21 | The Boeing Company | Automated controls for contoured surface inkjet printing |
US11953823B2 (en) * | 2018-08-31 | 2024-04-09 | Asml Netherlands B.V. | Measurement method and apparatus |
US10831977B1 (en) * | 2019-06-03 | 2020-11-10 | Globalfoundries Inc. | Curvilinear mask models |
US20210073976A1 (en) * | 2019-09-09 | 2021-03-11 | Carl Zeiss Smt Gmbh | Wafer inspection methods and systems |
WO2021052918A1 (en) * | 2019-09-20 | 2021-03-25 | Asml Netherlands B.V. | System and method for generating predictive images for wafer inspection using machine learning |
US11455436B2 (en) | 2020-03-25 | 2022-09-27 | Tokyo Electron Limited | Predicting across wafer spin-on planarization over a patterned topography |
TW202144978A (zh) * | 2020-04-01 | 2021-12-01 | 瑞典商指紋卡公司 | 具有校準電路的基於薄膜電晶體(tft)的指紋感測系統 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4894790A (en) | 1986-02-05 | 1990-01-16 | Omron Tateisi Electronics Co. | Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus |
US4878179A (en) * | 1987-11-12 | 1989-10-31 | Rockwell International Corporation | Interactive diagnostic methodology and apparatus for microelectronic devices |
JPH03174716A (ja) | 1989-08-07 | 1991-07-29 | Hitachi Ltd | 電子ビーム描画装置および描画方式 |
US5307296A (en) | 1989-11-17 | 1994-04-26 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor workpiece topography prediction method |
US5245543A (en) | 1990-12-21 | 1993-09-14 | Texas Instruments Incorporated | Method and apparatus for integrated circuit design |
JP3426647B2 (ja) | 1992-06-24 | 2003-07-14 | 日本電信電話株式会社 | 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング |
US5307421A (en) | 1992-10-14 | 1994-04-26 | Commissariat A L'energie Atomique | Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same |
JPH07253311A (ja) * | 1994-03-15 | 1995-10-03 | Fujitsu Ltd | パターン検査装置の較正方法、パターン検査方法、パターン位置決定方法、および半導体装置の製造方法 |
JP3409493B2 (ja) | 1995-03-13 | 2003-05-26 | ソニー株式会社 | マスクパターンの補正方法および補正装置 |
US5621652A (en) | 1995-03-21 | 1997-04-15 | Vlsi Technology, Inc. | System and method for verifying process models in integrated circuit process simulators |
JP3631852B2 (ja) * | 1995-07-28 | 2005-03-23 | 株式会社東芝 | 二次元回路パターンの発生方法及び発生装置 |
US5719796A (en) | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5795688A (en) * | 1996-08-14 | 1998-08-18 | Micron Technology, Inc. | Process for detecting defects in photomasks through aerial image comparisons |
JPH10256124A (ja) * | 1997-03-12 | 1998-09-25 | Sony Corp | 描画パターンデータ作成方法、電子ビーム描画方法、基体加工方法、並びに電子線描画装置 |
US6078738A (en) * | 1997-05-08 | 2000-06-20 | Lsi Logic Corporation | Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization |
US6578188B1 (en) * | 1997-09-17 | 2003-06-10 | Numerical Technologies, Inc. | Method and apparatus for a network-based mask defect printability analysis system |
JP3047007B2 (ja) * | 1997-09-26 | 2000-05-29 | 株式会社島精機製作所 | 画像処理装置 |
US6081658A (en) * | 1997-12-31 | 2000-06-27 | Avant! Corporation | Proximity correction system for wafer lithography |
-
2002
- 2002-10-09 TW TW091123294A patent/TWI228642B/zh not_active IP Right Cessation
- 2002-10-09 JP JP2002331394A patent/JP3706364B2/ja not_active Expired - Fee Related
- 2002-10-09 EP EP02257012A patent/EP1329771B1/en not_active Expired - Lifetime
- 2002-10-09 US US10/266,922 patent/US7175940B2/en not_active Expired - Lifetime
- 2002-10-09 DE DE60214506T patent/DE60214506T2/de not_active Expired - Fee Related
- 2002-10-09 KR KR1020020061587A patent/KR100576752B1/ko active IP Right Grant
-
2007
- 2007-01-22 US US11/655,868 patent/US7820341B2/en not_active Expired - Fee Related
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102789126A (zh) * | 2011-03-02 | 2012-11-21 | 株式会社东芝 | 光掩模及其制造方法 |
CN102789126B (zh) * | 2011-03-02 | 2016-04-13 | 株式会社东芝 | 光掩模及其制造方法 |
TWI456358B (zh) * | 2011-09-22 | 2014-10-11 | Nanya Technology Corp | 從曝光結果改進光學鄰近模擬的方法 |
TWI688829B (zh) * | 2014-02-20 | 2020-03-21 | 美商克萊譚克公司 | 用於基於影像之疊對量測之信號回應計量 |
CN111077726A (zh) * | 2018-10-19 | 2020-04-28 | 三星电子株式会社 | 光学邻近校正方法和使用其制造光刻掩模的方法 |
Also Published As
Publication number | Publication date |
---|---|
EP1329771A2 (en) | 2003-07-23 |
DE60214506T2 (de) | 2007-05-16 |
US20070117030A1 (en) | 2007-05-24 |
JP3706364B2 (ja) | 2005-10-12 |
EP1329771B1 (en) | 2006-09-06 |
KR100576752B1 (ko) | 2006-05-03 |
US7820341B2 (en) | 2010-10-26 |
US7175940B2 (en) | 2007-02-13 |
EP1329771A3 (en) | 2003-12-10 |
KR20030052958A (ko) | 2003-06-27 |
DE60214506D1 (de) | 2006-10-19 |
US20030082463A1 (en) | 2003-05-01 |
JP2003215780A (ja) | 2003-07-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI228642B (en) | Method of two dimensional feature model calibration and optimization | |
JP4102728B2 (ja) | 自動光近接補正(opc)ルール作成 | |
JP4639113B2 (ja) | 基板上に形成されるデザインを最適化する方法及びプログラム | |
JP5016585B2 (ja) | リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム | |
TWI463245B (zh) | 用於全晶片之光源及遮罩最佳化的圖案選擇 | |
TWI437377B (zh) | 快速自由型態源及遮罩共最佳化方法 | |
TWI334961B (en) | Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence | |
TWI570523B (zh) | 執行以模型為基礎之掃描器調諧的方法 | |
KR101527496B1 (ko) | 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델 | |
JP2010114443A (ja) | モデルベースの汎用マッチング及びチューニングのための方法及びシステム | |
TWI604277B (zh) | 使用圖案化裝置形貌誘導相位之方法及設備 | |
TW201632984A (zh) | 使用圖案化裝置形貌誘導相位之方法及設備 | |
TWI636317B (zh) | 使用圖案化裝置形貌誘導相位之方法、非暫時性電腦程式產品及製造半導體裝置之方法 | |
US7389491B2 (en) | Methods, systems and computer program products for correcting photomask using aerial images and boundary regions | |
JP4727288B2 (ja) | 基板上に形成されるデザインを最適化する方法及びプログラム | |
JP2024513074A (ja) | 少なくとも1つのターゲットレイアウトを決定する方法及び関連するメトロロジ装置 | |
TWI834076B (zh) | 用於測量圖案之裝置及相關的非暫時性電腦可讀媒體 | |
JP2024545013A (ja) | 周囲パターン及びプロセスを意識したメトロロジ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MK4A | Expiration of patent term of an invention patent |