TW555878B - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
TW555878B
TW555878B TW090129064A TW90129064A TW555878B TW 555878 B TW555878 B TW 555878B TW 090129064 A TW090129064 A TW 090129064A TW 90129064 A TW90129064 A TW 90129064A TW 555878 B TW555878 B TW 555878B
Authority
TW
Taiwan
Prior art keywords
dielectric
slot antenna
microwave
plate
plasma
Prior art date
Application number
TW090129064A
Other languages
English (en)
Inventor
Naoko Yamamoto
Tatsushi Yamamoto
Masaki Hirayama
Tadahiro Ohmi
Original Assignee
Sharp Kk
Tadahiro Ohmi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Kk, Tadahiro Ohmi filed Critical Sharp Kk
Application granted granted Critical
Publication of TW555878B publication Critical patent/TW555878B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

555878 A7 _____ B7 五、發明説明(1 ) 發明背景 發明領域 本發明有關電漿處理裝置,尤其,本發明有關一種使 用於例如半導體元件,液晶顯示器或太陽能電池的製造過 程之諸如蚀刻,膜沈積及灰化裝置的電漿處理裝置。 背景技術說明 隨著使用於製造半導體元件,LCD (液晶顯示器)或類似 物之基板大小的增加,最近已發展出用於處理此一具有大 面積基板之電漿處理裝置。特別地,該等裝置係發展用於 LCD以處理1 m(公尺)χΐ m或更大尺寸的基板,該等裝置 提出了使電漿均勻之挑戰,例如由發展用於膜沈積,蝕刻 及灰化之該等裝置所提出之挑戰係用於該等裝置之輸貫量 增強之電漿處理速率的改善。用於乾蝕刻裝置之挑戰在於 控制藉蝕刻法所產生之橫剖面形狀以符合圖案之漸增地減 少之大小以及增加之層數。 例如具有確保電漿均句目的之微波電漿處理裝置揭示於 曰本新型公開案號第4-1 17437號中,根據該裝置,具有槽 之金屬板係配置於介電質之微波線下方之空氣層與第二介 電質之間以調整微波之強度分布且因而獲得一均勾之電栽 密度於電漿產生室之中。 慮及形變,用於此裝置之板係厚的,然而,厚的板會在 微波波導室之中央部分的邊緣上造成不正常的放電。當使 用較薄之板時,由於金屬與介電質之大的熱膨脹係數,將 無法使金屬與介電質相互接觸且可造成不正常的放電。該 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 555878 A7 _____ B7 五、發明説明(2 ) '、一 等問題指出於日本專利公開案號第2000-91097號中,其揭 示克服該等問題的發明。 第9圖顯示日本專利公開案號第2000-9 1097號中所揭示 之發明所採用的結構,包含一由具有厚度範圍自〇·2 mm(毫 米)至2 mm(毫米)的A1(鋁)所製成之微波彌散板65。特定地 ’參閱第9圖,微波係發射自微波產生器6 1而通過導波管62 ’介電質通道63,空氣層,第一介電質板64,微波彌散板 65 ’第二介電質板66,及第三介電質板67以供應能量到處 理室68。此結構提供一均勻之灰化法。 已知之技術係提供一 DC(直流),AC(交流)或脈波之偏壓 到欲處理之材料而控制電漿離子照射量且藉此控制處理速 率或蚀刻膜之橫剖面形狀。施加偏壓於欲處理材料之方法 揭示於例如曰本專利公開案號第2〇〇〇-68227號中,根據該 方法’提供一固定在接地或正電位處之多孔電極,面向欲 處理 < 材料的平面而具有空間在該處之間,使得脈波或DC 偏壓施加於材料。 雖然日本新型公開案號第4-1 17437號及日本專利公開案 唬第2000-9 1097號顯示使用彌散板以用於使微波均勻之技 術,但並未揭示該彌散板之設計方法,此外,雖揭示了施 加同頻偏壓於樣品架,但顯示於第9圖中相對於基板7丨之第 2介電質板67係由陶質物所製成,以致無法調整第三介電 質板67的電位。因此,不可能有效地施加偏壓於基板71且 因而產生鴂法擴展可調整範圍之離子照射能量於欲處理之 材料的問題。 -5- 五、發明説明(3 ) 根據日本專利公開案號第2000-68227號之實施例中的技 術,ICP(電感性耦合電漿)裝置係使用有一電漿源,該電漿 源係在頻率上為MHz大小的RF(射頻)功率源,且因此劣 略關於藉由微波功率源產生電漿的說明。所以,並無問題 會由於微波之波長比一具有一側約數百瓜爪至1〇〇〇 mm(用 於f=2.45 GHz,真空中之自由空間波長為122爪爪)之真空 裝置的長度更短而產生。換言之,並沒有揭示解決當激勵 電漿時有關微波駐波分布之問題的設計。 對於微波激勵之電聚處理裝置而言,微波電路應視為一 譜振器而其中微波進人真空室之—部分將建構諧振器的一 部分。然後’應考慮到有關微波入口部分之微波的傳播特 性之設計1 ’訧低功率電漿放電的起始及維持以及電漿均 勻性之方面考慮。然而,上述公告並未提供此一設計的準則。 發明概述 本發明之目的於提供-種使用微波來激勵電聚之電㈣ 理裝置’其具有指引至欲處理之材料之易於調整的離子兩 射能量以及在該材料之平面内均勾地電漿處理該材料。 根據本發明之電漿處理裝置包含:一處理室,用於藉由 電漿來處理;微波發射單元,用於發射微波到處理室;一 介電質’用於㈣微波發射單元所發射之微波到處理室之 内;以及-槽天線板,由導體所形成,配置於介電質之一 側上而面向處理室且本右_ pq ^至丑〇有開口以用於通過輻射自介電質 而穿過該處的微波。 本發明之電漿處理裝置包含由導體所製成之槽天線,爲 555878
槽天線係配置於介電質之側邊而面向處理室,且因而槽天 線板之電位可立即予以調整,然後,可調整槽天線板之電 位來控制電漿離子的方向,例如相對於將處理的基板(偏壓 效應)。例如可藉調整槽天線板的電位於接地電位及施加偏 G杰基板使私漿離子入射於基板的整個表面上而實質垂直 於孩處。所以,可均勾地電漿處理一材料於其平面之内。 而且,相較於當空氣層存在於槽天線板與介電質之間時 ,可使槽天線與介電質接觸以縮短微波之空間波長。因此 ,可縮短槽天線板之開口間之間隔使形成更多數目的開口 ,所以透過該等開口輻射於處理室内之微波可均勻地分布 於處理室之内。 裝 此外,適當地界定用於微波駐波的複數個開口及其位置 與尺寸可提供有效及均勻輻射之微波至該處理室之内。 訂 較佳地,用於上述電漿處理裝置,槽天線的開口係直接 地定位於藉微波發射單元及介電質所建構之諧振器中之微 波的駐波波腹下方。 直接在駐波波腹下方的磁場會更大,然後,直接定位開 口於駐波之波腹下方以允許電流感應在開口周圍,此電流 感應來自該開口的磁場。換言之,藉直接地配置開口於駐u 波波腹下方將取得有效地輻射至處理室内之微波。 較佳地,用於上述電漿處理裝置,槽天線板具有其調整 於接地電位或正電位之電位。 槽天線板足電位調整可控制電漿離子的方向,例如相 於欲處理之基板。 ’ 555878 A7
較佳地’用於上述電漿處理裝置,槽天線板包含一用於 處理氣體的通道。 在此方式中’係促成處理氣體流量的控制,使得欲處理 之材料可均句地以電漿來處理。 本發明之上述及其他目的,特性,觀點及優點將呈明顯 於下文結合附圖之詳細說明中。 圖式簡單說明 第1圖係示意橫剖面圖,顯示根據本發明第一實施例之電 漿處理裝置的結構; 第2圖係沿著第丨圖中之線JJ _ 的示意橫剖面圖; 第3圖顯示第丨圖中箭頭皿之方向中所視之第二介電質, 槽天線板及支撐構件的設置; 第4圖係不意橫剖面圖,顯示用於施加一正電位於槽天線 板的結構; 第5圖描繪介電質中所產生的駐波; 第6圖係示意橫剖面圖,顯示根據本發明第二實施例之電 漿處理裝置的結構; 第7圖係示意橫剖面圖,顯示根據本發明第三實施例之電 漿處理裝置的結構; 第8圖顯示第7圖中箭頭观之方向中所視之槽天線板及第 一介電質的設置; 第9圖係示意橫剖面圖,顯示習知電漿處理裝置之結構。 較佳實施例之說明 現將結合附圖說明本發明之實施例。 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 555878 A7 --—__________Β7 五、發明説明(6 ) " ~ ---- 第一實施例 參閱第1至3圖,根據第一實施例之電漿處理裝置包本炎 作主要組件之一室蓋卜一處理室本體2,—導波管3, 波管3a’ -第一介電質板4, 一第二介電質板5, 一支撐構 件6,一槽天線板7 ,及一基板夾持器8。 須注意的是,第1及2圖中所示之個別的橫剖面分別地相 對應於第3圖中之線〗一 I及n — n。 室蓋1係放置相對處理室本體2而其均密封以一墊圈1〇, 罜蓋1具有一裂隙型開口 la,其中插置第一介電質板4,該 介電質板4係由諸如SiOyAhO3及A1N之介電質所製成而具 有以粗體縱向線所示之類似,,丁,,之形狀的橫剖面,室蓋i及 第一介電質板4亦密封以一墊圈u,該墊圈丨丨與墊圈1〇 一起 提供氣密於室之内部13 ,在室内部13中之空氣係藉一諸如 满輪分子泵(未圖示)之真空泵予以排氣而維時該室13於一 大約10·4 Pa(巴)至1〇-3 Pa的真空狀態中。 弟一介電質4具有暴露於大氣之側(下文中稱為,,大氣側”) ,而導波管3a放置於大氣側之上且以螺栓固緊於室蓋1 ,導 波管3固緊於導波管3a之上方平面的中央部,產生自一磁控 管之頻率2 ·45 GHz的微波係透過例如一隔離物及自動匹配 裝置以及進一步地透過一具有例如直接導波管,一轉角導波 管,一抽頭導波管及一分支導波管之微波固態電路(未圖示) 而導引至導波管3,之後,微波輻射自一開口 3b朝向第一介 電質板4。 入口導波管3a具有一保溫通道3c,在該處熱絕緣介質會流 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 動以用I維持導波管3a及其週邊部分之預定溫度。室蓋i及 處理至本體2亦具有提供溫度調節功能之加熱器及熱絕緣通 … 图示)以用於藉加熱加熱器及透過熱絕緣通道之熱絕 緣介質的流動來維持室溫度均勻。 至盍1具有暴露於真空之側(下文中稱為,,真空側”)。在該真 2側 <上’由諸如Al2〇3,Si〇2及A1N之介電質所製成之複 數個第二介電質板5係設置接觸於第一介電質板4。在該第 二介電質板5下方係固定由導體所製成之槽天線板7來接觸 第二介電質板5。 如第3圖中所示,由導體所製成之支撐構件6係以螺釘固 累於第二介電質板5及槽天線板7以用於支撐該第二介電質 板5及槽天線板7於室蓋1之上。如第3圖中所示,槽天線板7 具有矩形槽7a且具有例如1至2〇 mm的厚度,矩形槽7a係根 據設計而定位,製成用以執行稍後所述之槽天線的功能。 槽天線板7例如由A1或SUS所製成,一彈簧材料可插置於 槽天線板7與支撐構件6之間以用於確保槽天線板7與第二 介電質板5之間的更緊密接觸。 支撐基板9之基板夾持器8係配置於室内部13中以面向样 天線板7。 氣體供應管12係連接於室蓋1以用於從外部供應反應劑 氣體至室内部13之内,供應自氣體供應管12之反應劑氣體 係導引穿過支撐構件6的氣體孔6a及溝槽6b。 & 室蓋1及處理室本體2係由導體所製成且接地,支撐構件6 及天線板7亦由導體所製成且接地或施加有正電位(第$圖) -10- 555878
發明説明 。用於基板偏壓之施加,高頻之偏壓(頻率·· 6 MHz,輸出 :約1 Kw)施加於基板夾持器8,基板夾持器8具有諸如用 以吸取基板9之靜電夾頭機制及用以藉由例如He(氦)氣體 冷部機板9之冷卻機制,偏壓則可為任一除了上述者之外之 具有不同頻率的電壓,或Dc電壓或脈波電壓,可選擇任一 合適之偏壓以符合製程之目的。 若施加正電位於支撐構件6及槽天線板7時,室蓋丨及支 才牙構件6必須藉配置一絕緣物15於該處之間而予以絕緣,如 第4圖中所示,該圖顯示相對應於第丨圖之橫剖面圖。 槽天線板7之槽7a的位置係設計如下文所述。 槽7a(位置係利用電腦模擬技術設計,形成一模型形狀 自一微波入口到第二介電質板5而具有導波管3&及第一介 電質板4於孩處之間,接著,若該模型之邊界的邊際條件相 對應於一完美之導體時,則執行電磁分析。此處,藉空氣 及微波產生器與槽天線間之介電質所形成之空間作用為一 諧振腔而藉此產生該微波之駐波,因此,槽7a係直接地定 位於第一及第二介電質板4及5中之微波駐波的波腹下方。
裝 訂
k 微波駐波之波腹稱為駐波之最大場振幅的位置。參閱第 5圖’在第-及第二介電質板4及5中之駐波的場強度係實際 地藉描繪為點線2 1 <輪廓線予以表示,輪廓線2丨在形狀上 係幾乎圓形(或橢圓形)而較接近中心的圓形(或橢圓形)表 示較大的場強度,槽7a則直接地定位在幾乎圓形(或橢圓形 )輪廓線2 1之中心的下方。 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公袭:) 555878 A7 _____B7 _ 五、發明説明(9 ) 直接地在駐波波腹下方位置處之磁場會較大,因此,配 置槽7a於該位置處以感應電流於槽7a周圍,此電流會造成 磁%產生自槽7 a ’也就是說,槽7 a係直接地定位在駐波之 波腹下方而有效率地輻射微波到室内部丨3之内。 例如槽7a為矩形,則槽7a係設計具有介電質中之空間波 長之一半長度的較長側邊(例如大約20 mm,倘若該介電質 具有10之相對介電常數以及頻率為2.45 GHz)且設計具有 更短側邊而在長度上為該較長側邊的一半或更小。通常, 當槽天線之矩形槽的較長側邊為2/ ^時,則微波之輻射效 率會增強,槽7a可以以此方式成形而增強微波之輕射效率。 較佳地’槽7a之形狀係根據駐波分布的大小而變化;特 定地,當場振幅大時,槽7a之較長側係製成更短;根據槽 7 a的位置,可變化微波輻射之量。因此,較大輕射量之槽 7a具有其較長側邊縮短以用於減少輕射量以便輻射出相同 於從其他槽所輻射出之微波的量,所以可使來自槽h之微 波輻射均勻。 因此,設計槽7a以使槽天線板7作用為槽天線而可有效地 且均勻地從槽7a輻射出微波。 須注意的是,槽7a係根據諧振器之結構而設計有數目及 形狀,換言之,可在使微波均勾地輻射自槽&的條件上採 用任一設計,例如矩形槽〜可如上述地在長度及寬产上木 異,或槽7a之中心轴可相對於槽天線板之縱=側而=相 根據第一實施例之電漿處理裝置的操作係描述於下 ',其 係使用為用於例如S i 0 2膜的乾触刻裝置。 、
裝 訂
線 -12-
555878 A7 B7 五、發明説明(1〇 ) 參閱第1圖,藉真空抽取裝置,真空狀態會初步地產生 且維持於室内部13之中,然後以質量流控制器(未圖示)所 控制之預疋流動速率從氣體供應管12供應諸如CF4及〇2處 理氣體,之後,透過複數個氣體孔6a使處理氣體分流進入 將供應至室内部13之複數個氣體通道之内,該室内部13之 壓力係藉凋整排放系統之傳導率的壓力調節機制而調整到 一預定的壓力(例如3 0巴)。 預定功率(例如2千瓦(kW))之微波係透過導波管3 ,入口 導波管3a以及第一及第二介電質板4及5而從槽天線板7之 開口 7a供應及輻射至室内部13,視需要地供應偏壓至基板9 以用於例如所蝕刻之膜的側壁之錐形控制。在此方式中, 可均勻地產生電漿且可均句地蝕刻基板8上之膜(例如Si〇2 膜)。 其他形式之絕緣膜以及例如A1及Ti之金屬膜亦可藉改變 處理氣體之形式及混合比例,設定氣體壓力於預定之壓力 及提供預定功率之微波予以蝕刻。 根據第一實施例,接地電位係施加於室蓋1 ,處理室本 體2,支撐構件6,及槽天線板7,而偏壓則施加於基板9 , 孩偏壓可予以調整而控制室内部丨3中所產生之電衆離子相 對於基板9的方向。 關於上述稱為習知裝置之微波電漿處理裝置(日本專利 公開案第2000-91097號),大多數之介電質部分面對電聚放 電平面。、在此例中因為第二介電質係絕緣體,故相對基板 之大邵分平面上之電位為浮動電位。 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(21GX 297公爱) 555878 A7
根據本發明之第一實施例,支撐構件6及槽天線板7係由 導體所製成,使得藉設定導體之電位於例如接地電位之電 位控制為可行的。在此方式中,透過偏壓之施加可完整地 取得牽引離子及自由基之效應。有利地,可增強蝕刻速率 及擴展由蝕刻所產生之形狀的控制範圍。 此外’根據第一實施例,槽天線板7係設置接觸於第二 介電質板5,因此,空間波長會比當空氣層存在於槽天線板 7與第二介電質板5之間的空間波長更短(該波長大略地為 Al2〇3 ’ A1N之第二介電質的三分之一)。較短之間隔於槽7a <間係因而可行的,其意指可形成較大數目之槽7a,因此 可使微波分布,亦即,電漿分布均勻。 須注意的是,入口導波管3a以及第一及第二介電質板5 的個別形狀並未受限於上文所示之該等形狀而可根據室之 大小及形狀而為任何適用之形狀。在此例子中,係因此而 設計槽天線板7之槽7a的設置。 第二實施例 根據第一實施例,微波係透過由第一及第二介電質所建 構之雙介電質結構予以發射。根據第二實施例,如第6圖中 所示之電漿處理裝置係建構不具第二介電質,而是延伸第 一介電質板4於較短側邊之方向中(其中導波管延伸之方向 ),然後,槽天線板7接觸第一介電質板4而支撐構件6則僅 支撐槽天線板7。 除了上述組件外之組件係建構幾乎相類似於第一實施 例之該等組件,因此,彼此相對應之組件係藉相同之符號 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 555878 A7 B7
予以表示而不重複其說明。 槽天線板7之槽7 a係根據下文所述之第二實施例設計。 形成一包含微波入口,導波管3,入口導波管3a,及第_ 介私、板4之模型之接著,倘若該模型之邊界的邊界條件相 對應於一完美的導體時,執行電磁分析。所以,此模型作 用為諧振器,因而產生微波之駐波。因此,槽天線7之槽& 係設計直接地定位在第二介電質板5中之微波駐波的波腹 下方。 例如槽7a為矩形,則槽7&係設計具有介電質中之空間波 長之一半長度的較長側邊(例如大約2〇 mm,倘若該介電質 八有10之相對介電常數以及頻率為2.45 GHz)且設計具有 更短側邊而在長度上為該較長側邊的一半或更小。較佳地 ,槽7a之各尺寸係根據駐波分布的大小而變化;特定地, s %振幅大時,槽7a之較長側係製成更短。在此方式中, 設計槽7a以使槽天線板7作用為槽天線以用於微波且因此 而作用於有效地輻射出微波。 第二實施例相異於第一實施例,其中前者不具有第二介、 電質。根據第一實施例,採用由第一及第二介電質所形成 之介私質的兩部分結構,其應設計不具有縫隙於第一與第 一;I %貝之間。在若干例子中,大略地數百微米之縫隙可 根據第一及第二介電質,室蓋1 ,支撐構件ό及類似物之製 私,組合及溫度改變而予以產生。根據第二實施例,此一 縫隙可接第二介電質之去除而避免。 弟二貫施例 555878 A7 _________ B7 五、發明説明(13 ) 參閱第7及8圖,根據第三實施例之電漿處理裝置係建構 有配置於槽天線板7之中的缝隙通道讣及八,槽天線板7並 未如第一及第二實施例中所採用之支撐構件予以支撐,而 是直接地以例如螺釘來固定於室蓋1。 須注意的是,第7圖顯示相對應於第8圖中線νπ -νπ之示意 橫剖面圖。 除了上述組件外之組件係建構幾乎相類似於第二實施 例之该等組件,因此,彼此相對應之組件係藉相同之符號 予以表示而不重複其說明。 根據第三實施例,槽天線板7具有氣體通道,然後,並 不需相似於第一及第二實施例地提供氣體通道於支撐構件 之中。右泫支撐構件具有氣體通道,則無氣體通道可配置 於該第一介電質板4下方。在此例子中,在室内部ι3中之氣 體流動的最適化會是困難的。 根據第二貫施例 < 配置於槽天線板7中之氣體通道讪及 7c可直接地設置在第一介電質板4下方以使室内部13中之 氣體流動最適化。此外,因為氣體通道71}及7c係配置於槽 天線板7之中,故無需第二實施例之支撐構件6。 第三實施例之此結構提供了例如蝕刻A1,以及TiN膜之 過程中蝕刻均句性之增強,其中該氣體流動具有決定性之 影響於蝕刻之上。 槽天線板7及支撐構件6可集成以用於第一實施例之結 構。 菖使用根據弟一至第二貫施例所述之電襞處理裝置於 -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 555878
姓刻諸如A1之金屬時,則可㈣槽天線板m槽天線板 本身係由A1所形成時。在此一例子中,至少一槽天線板 7之平面之暴露於電漿的部分會企望地塗覆有Αι2〇3。此外 ,較佳地該室之内壁係塗覆有Al2〇3。 如上述之使用於塗覆之材料並未受限於Abo〗而是可應 用任一材料於該材料不受電漿影響之條件下。 如上文中所述地,根據本發明之電漿處理裝置採用由導 體所製成之槽天線板而設置在面向處理室之介電質的側邊 上以便促成該槽天線板之電位的調整。因此,槽天線板之 電位可予以調整而控制例如電漿離子相對於欲處理基板之 万向(偏壓效應)。例如可藉調整該槽天線板之電位於接地 電位且施加偏壓於基板而使電漿離子實質地垂直地入射於 基板之整個表面之上,因此,可均勻地電漿處理一材料於 其平面之内。 而且,可使槽天線板接觸介電質以縮短相較於當空氣層 存在於槽天線板與介電質之間的微波空間波長。因此,可 縮短槽天線板之開口間的間隔,使得可形成較大數目之開 口,所以可透過該等開口均勻地分布輻射進入該處理室之 内的微波於處理室之中。 此外,具有適當地界定用於微波駐波之位置及尺寸的複 數個開口可j疋供有效率的及均勻的微波輕射於處理室之内。 雖然本發明已詳細地予以說明及描繪,但應清楚地理解 的是,莫係僅用於描繪及舉例而非用於限制,因此,本發 明之精神及範疇僅受限於附錄申請專利範圍之條款。 -17- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 裝 訂
k 555878 A7 B7 五、發明説明(15 ) 件 符號說明 1 室蓋 10 墊圈 2 處理室本體 11 墊圈 3 導波管 12 氣體供應管 3a 導波管 13 室内部 3b 開口 15 絕緣物 3 c 保溫通道 21 輪靡線 4 第一介電質板 30 螺絲 5 第二介電質板 61 微波產生器 6 支撐構件 62 導波管 6a 氣體孔 63 介電質通道 6b 溝槽 64 第一介電質板 7 槽天線板 65 微波彌散板 7a 槽 66 第二介電質板 7b 氣體通道 67 第三介電質板 7c 氣體通道 68 處理室 8 基板夫持!§· 71 基板 9 基板 -18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 555878
    ι· 一種電漿處理裝置,包含: 一處理室’用於藉由電漿來處理; 微波發射裝置’用於發射微波到該處理室; 一介電質板’用於輻射該微波發射裝置所發射之微波 到該處理室之内;以及 一槽天線板,由導體所形成,配置於該介電質板之一 側上而面向該處理室,且含有一開口以用於通過輻射自 該介電質板而穿過該開口處之微波。 2 ·如申請專利範圍第1項之電漿處理裝置,其中該槽天線 板之該開口係直接地定位在該微波發射裝置及該介電 質所建構之一諧振器中之微波駐波的波腹下方。 3. 如申請專利範圍第1項之電漿處理裝置,其中該槽天線 板具有調整於一接地電位或一正電位的電位。 4. 如申請專利範圍第1項之電漿處理裝置,其中該槽天線 板包含一用於一處理氣體之通道。 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐)
TW090129064A 2000-12-04 2001-11-23 Plasma processing apparatus TW555878B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000368508A JP3650025B2 (ja) 2000-12-04 2000-12-04 プラズマプロセス装置

Publications (1)

Publication Number Publication Date
TW555878B true TW555878B (en) 2003-10-01

Family

ID=18838725

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090129064A TW555878B (en) 2000-12-04 2001-11-23 Plasma processing apparatus

Country Status (5)

Country Link
US (1) US6783628B2 (zh)
JP (1) JP3650025B2 (zh)
KR (1) KR100494607B1 (zh)
CN (1) CN1296975C (zh)
TW (1) TW555878B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI555444B (zh) * 2009-03-25 2016-10-21 Tokyo Electron Ltd A cover plate fixing device and a cover fixing device for the induction coupling plasma processing device
TWI811331B (zh) * 2018-04-10 2023-08-11 美商應用材料股份有限公司 具有拆分窗的微波電漿源

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3960775B2 (ja) * 2001-11-08 2007-08-15 シャープ株式会社 プラズマプロセス装置および処理装置
JP4072422B2 (ja) * 2002-11-22 2008-04-09 三星エスディアイ株式会社 蒸着用マスク構造体とその製造方法、及びこれを用いた有機el素子の製造方法
US6998565B2 (en) 2003-01-30 2006-02-14 Rohm Co., Ltd. Plasma processing apparatus
JP4381001B2 (ja) * 2003-02-25 2009-12-09 シャープ株式会社 プラズマプロセス装置
US20060124455A1 (en) * 2003-06-02 2006-06-15 Yizhou Song Thin film forming device and thin film forming method
US20050000446A1 (en) * 2003-07-04 2005-01-06 Yukihiko Nakata Plasma processing apparatus and plasma processing method
US7268074B2 (en) * 2004-06-14 2007-09-11 Enthone, Inc. Capping of metal interconnects in integrated circuit electronic devices
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20080190560A1 (en) * 2005-03-04 2008-08-14 Caizhong Tian Microwave Plasma Processing Apparatus
JP5013393B2 (ja) * 2005-03-30 2012-08-29 東京エレクトロン株式会社 プラズマ処理装置と方法
JP5213150B2 (ja) * 2005-08-12 2013-06-19 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
JP4703371B2 (ja) * 2005-11-04 2011-06-15 国立大学法人東北大学 プラズマ処理装置
JP4915985B2 (ja) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007273637A (ja) * 2006-03-30 2007-10-18 Tokyo Electron Ltd マイクロ波プラズマ処理装置,マイクロ波プラズマ処理装置の製造方法およびプラズマ処理方法
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20080303744A1 (en) * 2007-06-11 2008-12-11 Tokyo Electron Limited Plasma processing system, antenna, and use of plasma processing system
JP2009021220A (ja) 2007-06-11 2009-01-29 Tokyo Electron Ltd プラズマ処理装置、アンテナおよびプラズマ処理装置の使用方法
JP2008305736A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびプラズマ処理装置のクリーニング方法
WO2008153064A1 (ja) * 2007-06-11 2008-12-18 Tokyo Electron Limited プラズマ処理装置および処理方法
JP4705967B2 (ja) * 2008-02-26 2011-06-22 東京エレクトロン株式会社 プラズマ処理装置
JP5213530B2 (ja) * 2008-06-11 2013-06-19 東京エレクトロン株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5628507B2 (ja) * 2009-10-20 2014-11-19 東京エレクトロン株式会社 試料台及びマイクロ波プラズマ処理装置
US8980047B2 (en) * 2010-07-02 2015-03-17 Samsung Electronics Co., Ltd. Microwave plasma processing apparatus
CN102094184A (zh) * 2010-09-28 2011-06-15 常州天合光能有限公司 Pecvd上下同时镀膜方法
JP5851899B2 (ja) * 2011-03-25 2016-02-03 東京エレクトロン株式会社 プラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013094175A1 (ja) * 2011-12-19 2013-06-27 パナソニック株式会社 マイクロ波加熱装置
JP2013243218A (ja) 2012-05-18 2013-12-05 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9530621B2 (en) * 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107369601B (zh) * 2016-05-11 2019-04-23 北京北方华创微电子装备有限公司 表面波等离子体加工设备
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10546724B2 (en) * 2017-05-10 2020-01-28 Mks Instruments, Inc. Pulsed, bidirectional radio frequency source/load
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04117437A (ja) 1990-09-07 1992-04-17 Yokohama Rubber Co Ltd:The ゴム配合用硫黄
DE4235914A1 (de) * 1992-10-23 1994-04-28 Juergen Prof Dr Engemann Vorrichtung zur Erzeugung von Mikrowellenplasmen
JP2611732B2 (ja) * 1993-12-13 1997-05-21 日本電気株式会社 プラズマ処理装置
EP0688038B1 (en) * 1994-06-14 2001-12-19 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
EP0702393A3 (en) * 1994-09-16 1997-03-26 Daihen Corp Plasma processing apparatus for introducing a micrometric wave from a rectangular waveguide, through an elongated sheet into the plasma chamber
JP3233575B2 (ja) * 1995-05-26 2001-11-26 東京エレクトロン株式会社 プラズマ処理装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
JP3217274B2 (ja) * 1996-09-02 2001-10-09 株式会社日立製作所 表面波プラズマ処理装置
JP2000068227A (ja) 1998-08-24 2000-03-03 Nissin Electric Co Ltd 表面処理方法および装置
JP2000091097A (ja) 1998-09-11 2000-03-31 Sumitomo Metal Ind Ltd マイクロ波導入装置及びプラズマ処理装置
JP2000173989A (ja) * 1998-12-01 2000-06-23 Sumitomo Metal Ind Ltd プラズマ処理装置
JP2000286240A (ja) * 1999-03-30 2000-10-13 Rohm Co Ltd 半導体基板用プラズマ表面処理装置におけるラジアルラインスロットアンテナの構造

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI555444B (zh) * 2009-03-25 2016-10-21 Tokyo Electron Ltd A cover plate fixing device and a cover fixing device for the induction coupling plasma processing device
TWI811331B (zh) * 2018-04-10 2023-08-11 美商應用材料股份有限公司 具有拆分窗的微波電漿源

Also Published As

Publication number Publication date
US20020123200A1 (en) 2002-09-05
US6783628B2 (en) 2004-08-31
JP3650025B2 (ja) 2005-05-18
KR100494607B1 (ko) 2005-06-13
CN1296975C (zh) 2007-01-24
CN1363718A (zh) 2002-08-14
JP2002170818A (ja) 2002-06-14
KR20020043446A (ko) 2002-06-10

Similar Documents

Publication Publication Date Title
TW555878B (en) Plasma processing apparatus
TW502561B (en) Plasma treatment apparatus
TWI573167B (zh) Microwave radiation mechanism and surface wave plasma processing device
US6325018B1 (en) Flat antenna having openings provided with conductive materials accommodated therein and plasma processing apparatus using the flat antenna
KR100338057B1 (ko) 유도 결합형 플라즈마 발생용 안테나 장치
TW550703B (en) Microwave plasma treatment device, plasma treating method, and microwave radiation member
JP2002280196A (ja) マイクロ波を利用したプラズマ発生装置
EP2276328A1 (en) Microwave plasma processing device
JP2000012292A (ja) プラズマ処理装置
JP2570090B2 (ja) ドライエッチング装置
TW201230892A (en) Apparatus for plasma processing
JPH03191073A (ja) マイクロ波プラズマ処理装置
US6343565B1 (en) Flat antenna having rounded slot openings and plasma processing apparatus using the flat antenna
KR20090009369A (ko) 히터가 설치된 유도 결합 플라즈마 소스를 구비한 플라즈마반응기
JP2722070B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4593741B2 (ja) ラジアルアンテナ及びそれを用いたプラズマ処理装置
KR100218836B1 (ko) 플라스마 처리장치
JP3323928B2 (ja) プラズマ処理装置
JP3676680B2 (ja) プラズマ装置及びプラズマ生成方法
TWI587751B (zh) Microwave radiation antenna, microwave plasma source and plasma processing device
KR20100012418A (ko) 자기 조절 수단을 구비한 플라즈마 반응기
WO2013124898A1 (ja) プラズマ処理装置およびプラズマ処理方法
JPH05129095A (ja) プラズマ処理装置
JP2000164392A (ja) マイクロ波プラズマ処理装置
JPH10149896A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees