TW486755B - Semiconductor device and manufacturing method of the device - Google Patents

Semiconductor device and manufacturing method of the device Download PDF

Info

Publication number
TW486755B
TW486755B TW090109694A TW90109694A TW486755B TW 486755 B TW486755 B TW 486755B TW 090109694 A TW090109694 A TW 090109694A TW 90109694 A TW90109694 A TW 90109694A TW 486755 B TW486755 B TW 486755B
Authority
TW
Taiwan
Prior art keywords
film
organic low
insulating film
silicon
dielectric
Prior art date
Application number
TW090109694A
Other languages
English (en)
Inventor
Hidetaka Nambu
Original Assignee
Nippon Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co filed Critical Nippon Electric Co
Application granted granted Critical
Publication of TW486755B publication Critical patent/TW486755B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

486755 五、發明說明α) 【發明之背景】 發明之領域 本發明係關於一種半導體裝置及其製造方法’特別係 關於一種含有以蝕刻一有機低介電值膜而形成之介層洞及 溝槽之半導體裝置及其製造方法。 習知技術之描述 近年來,隨著半導體裝置之高積體化及及晶片尺寸之 縮小化,配線結構必須微縮化及多層化。於具有如LSI等 之多層配線結構之半導體裝置中,當多層配線結構中之配 線相近配置時,會產生因配線圖案間之寄生電容所造成之 配線延遲之問題。因此,為了改善配線延遲,降低配線電 阻及配線電容成為重要之課題。 習知之一種用以降低配線結構中之配線電容之方法, 係使用具有低介電值之材料做為層間絕緣膜,如以基於烴 之有機材料及基於碳氟化合物之有機材料,取代習知之基 於心〇2之絕緣膜。此等材料之介電值一般為2 ()至2.5左 f ’較習知之基於Si〇2之絕緣膜,介電值可降低約40%。 ^卜:為了降低配線電…般使用電阻較低之 以 取代習知之鋁配線。 t,如此材料而形成-多層配線結 易’故多使用多層配線製程(如日太八Η皇41二蚀;』奶不 9,429號、曰本公開專利公專利公報第 專利公報第2〇〇〇_774〇9號等 h、日本公開 說明上述之多層配線製程。首考圖1, 耳先,如圖1Α所示,於矽基板
^0755 五、發明說明(2) ' 1上,形成一有機低介電值膜6a,及一如氧化矽膜之含矽 絕緣膜7a。其後,如圖16至1(:所示,使用微影及乾蝕刻 技術,以光阻8a做為遮罩,形成貫通絕緣膜6a、7a之配線 溝槽9。其後,形成一如氮化鈦之阻障金屬丨〇&,以覆蓋配 線溝槽9之内面。接著,沈積一如Cu之配線金屬1〇b,以填 充配線溝槽9。其次,進行如化學機械研磨(CMp)方法之研 磨衣耘,使阻卩早金屬1 〇 a及配線金屬丨〇 b僅殘留於配線溝槽 9内,而如圖1D所示,形成Cu埋於絕緣膜6a、7a之配線溝 槽9之第1配線1 〇。 。接著,與圖1 A相同,於配線層1 〇之上形成一有機低介 電值膜6b及一含矽絕緣膜7b,以形成該第}配線1〇之上 層。其後,如圖1F及1G所示,以微影及乾蝕刻技術,以光 阻8 a做為遮罩’形成貫通絕緣膜6 a、7 a之介層洞11。其 後’於該介層洞11沈積一阻障金屬丨2 a及一連接金屬1 2 b。 接著,如圖1H所示,以CMP方法,形成該阻障金屬丨2a及該 配線金屬1 2 b埋於該介層洞1 1中之連接插塞1 2。 以上述方法形成多層配線結構時,該溝槽9及該介層 洞11之尺寸可能大於遮罩設計尺寸,而使得配線彼此相接 近。特別是於近年來基於〇 · 1 8 # m或更小之設計規範之半 導體裝置中’因些微之位置偏差,而造成上下層之配線連 接缺陷。結果,蝕刻此等層間絕緣膜需要高精準度,但該 有機低介電值膜一般係以採用氧氣之反應性離子蝕刻 (RIE),而使用氧氣之蝕刻時,若無位置差異則不易形成 有高縱橫比之配線溝槽9及介層洞11。
五、發明說明(3) 介電圖2,說明此問題。圖2係為顯示習知之有機低 之蝕刻方法之製程剖面圖。首先,如圖2A所示, 機低介電值膜2置於一石夕基板1或-預定絕緣膜或 ,,層。如圖2B所示,接著,以化學氣相沈積(CVD)方法 开=:,切膜13。其後,如圖2C;所示,以周知之微影技 4術。於乳化發獏13上’形成具有預定開口部5之光阻圖案 其次,如圖2D所示,以該光阻圖案4做為蝕刻遮罩, 以如CF4等之基於氟的氣體,蝕刻該氧化矽膜13。接著, 如圖2E所示,以該氧化矽膜! 3做為蝕刻遮罩,以使用氧-氣 之乾蝕刻,蝕刻該有機低介電值膜2。此 ^ 保此乾㈣之非等向性,必須降低該氧j之壓V且升刀Λ 偏壓(Vdc)。在此條件下,因進行蝕刻之該自由基種之濃 度減少,故無法得到充分之蝕刻速率。反之,若為了加快 蝕刻速率而增加自由基濃度,則無法維持非等向性形狀,、 而如圖2E所示,該介層洞之内壁會成為弓形。若介層、、同 形成為弓形,則於該介層洞埋藏一金屬膜時,則 3夫 成阻障金屬之部分,且於該介層洞產生空 之信賴性下降。 從传運接 μ此外’若,用氧氣’則藉由使用氧電漿之钱刻,而 付於該有機低"電值膜2表面形成c_〇連結,而使得表面^ 之介電值上升’進而使得使用低介電值膜之效曰 如上所述,使用氧氣之乾姓刻中,則不易垂= 蝕刻以形成符合遮墨势斗Π ^ 直也進仃 486755 五、發明說明(4) 細微配線之半導體裝置之過度蝕刻裕度變窄,故使用氧 氣之乾姓刻右未更進^一步地縮窄過度钱刻裕度’則不適用 於如此半導體裝置之製造。因此,以化/¾氣體取代氧氣 之方法被提出。此方法參考圖3加以·說明。 首先,如圖3 A所示,於一矽基板1或一預定絕緣膜或 配線上’形成一有機低介電值膜2,並如圖3 B所示,於其 上形成一氧化矽膜1 3。其後,如圖3 C所示,以習知之微影 技術,於該氧化矽膜1 3上形成一具有預定開口部5之一光 阻圖案4,並以該光阻圖案4做為遮罩。如圖3D所示,以如 CF4之基於氟的氣體蝕刻該氧化矽膜ι3。接著,如圖π所 示,以該蝕刻後之氧化矽膜13做為蝕刻遮罩,以 #刻該有機低介電值膜2。 2 上在此,若以Ng/H2氣體蝕刻該有機低介電值膜2時,· 於該有機低介電值膜2之姓刻孔側壁,形成含C_N連結之 應生成物,故可防止介層洞之該側壁之過度蝕刻。^
剖面不會變成弓形且過度钱刻之裕度可保持(W 然而,因% / H2氣體之蝕刻速率低且蝕刻時間長, 能低。又,因使用〜/112氣體之蝕刻 式遮罩之氧化石夕膜13之時間加長]用為硬 ϊ drGP)之問題’其為該氧切膜13之開口斷面 後退而開口徑變寬。 阿囬 有鑑於上述問題,本發明之Φ i n J 暮舻狀番要目的在於提供一種半 ¥體I置及其製造方法,其可高精準 彳里干 同萌早地蝕刻有機低介電值 f ’而不會使形成於該有機 為弓形,或使# A β ^ ;丨電值膜之介層洞之剖面成 緣膜產生ίΓ 有機低介電值膜之—料之含石夕絕 【發明概要】 ‘ 含叫氣體Ut亍t目有:明之一實施例係使用ΝΗ3或 蝕刻。 _ "電值膜所成之層r間絕緣膜之 法,= 提供一種絕緣膜之㈣方 絕緣膜所構成之一層間絕‘膜:膜$ :形成於上之-含砍 光阻圖案做為^ S U 1緣膜成一光阻圖案;以該 為遮罩蝕刻、該有拖彳刻^該含矽絕緣膜;以該含矽絕緣膜做 刻俜使用μη" 機低;1電值膜。該有機低介電值膜之蝕 同Γίη 3氣體且於㈣該有機低介電值膜時, J吋陈去该光阻圖案。 之制ί古t發明提供一種具有多層配線結構之半導體裝置 芦:二ΐ ’其至少包含以下步驟:於一半導體基板之上 ",疋膜厚形成一有機低介電值膜;於該有機低介電值 二上’沈積一含矽絕緣膜;於該含矽絕緣膜上,形成有預 疋fj 口,一光阻圖案;以該光阻圖案做為遮罩,以使用基 於氟的氣體之乾蝕刻,蝕刻該含矽絕緣膜;以該含矽絕緣 膜j為遮罩,餘刻該有機低介電值膜,形成具有預定形狀 ^貝通孔;於該貫通孔内埋設一阻障金屬及一配線金屬 其特徵為:以NH3或含NH3氣體蝕刻該有機低介電值 膜,亚於蝕刻該有機低介電值膜之同時,除去該光阻圖 五、發明說明(6) 案。 明之具有多層配線結構之半導體裝置,其至少包 二了基板上層之一層間絕緣膜,該層間絕緣膜由 預&膜厚之有機低介電值膜,及一耐基於之氣 狀之貫通孔,☆該孔m絕緣膜形成具有預定形 孔内’具有埋設有一阻障金屬及— 一配線層,其特徵為:$成於該有機低介電 孔藉由使用NH3或含叫氣體之乾蝕刻,形成 於該介電值膜、,且具有高於預定值之縱橫比。 N、Η、、。^明中:上述含〇3氣體係為於NH3中混合至少 ς2_ 〇 2 2之之軋體。而上述含矽絕緣膜則包含至少 二電 r膜 有機膜、基於烴之有機低:::;電:膜最好包含非含石夕 介電值膜、或含氣樹脂膜。m、基於务香族之有機低 你入φ上所述本發明蝕刻設於一層間絕緣膜内之一有機 低;丨電值膜,該層間絕緣膜 古德把人+ 有機 耐基於NH3之氣體之含矽具/含一有機低介電值膜及-絕緩腔孫,v f 巴緣膜之2層結構。蝕刻該含矽 膜係以龍3或含NH阻氣圖體案做以t 防止該含久做為遮罩,故可 與該光阻圖案開口直徑相同 =直:面:狀,形成 氣體之姓刻速率相比,因:力之=::又,與使用Vh2 時間。 u 了加快蝕刻迷率,故可縮短蝕刻 ίου /jj
【車父佳實施例之詳細說明】 扩你丨ί ί發明之有機μ電值膜之蝕刻方法 < -較佳糸 广,、錯由於由一有機低介電值膜2(圖4)及—耐基於只 开ί呈氣古體之含石夕絕緣膜3(圖4)所構成之層間絕緣膜上、, 形成具有預定開口直徑之一光阻圖案4 (圖4)。以該 =案做為遮罩對該含⑦絕緣膜進行乾㈣彳!,以該切的 ί 遮罩’使用ΝΗ3或細3氣體對該有機低介電巴 進仃乾蝕刻,而精密地至少形成具有高縱橫比且接 垂直剖面形狀之開口部5(圖4)、配 洞11(圖6)等。 ;|層 以下參考圖4及圖5,說明本發明之實施例。 首先,參考圖4,說明本發明之第丨實施例之有機低介 電值膜之蝕刻方法。圖4係為本發明之第丨實施例之有機 低介電值膜之蝕刻方法之製程剖面圖。 如圖4所示,本實施例之蝕刻方法可精確地垂直蝕刻 一有機低介電值膜。於一矽基板丨或形成於其上之一絕緣 膜或一配線層上,藉由旋轉塗佈,以〇· 2至〇· 4 左右之 膜厚,形成具有如一基於烴有機膜、一基於芳香族有機膜 或一含氟樹脂膜之一有機低介電值膜2。其後,如圖4β所' 不,於該有機低介電值膜上,以如CVD法,以〇1至〇.2^m 左右之膜厚,形成如一般無機膜、無機低介電值膜、無機 多孔質膜、有機SOG (Spin 〇n Glass)膜等之一含矽絕緣 膜3。 該基於烴之有機低介電值膜2可使用如^&1^化學企業
第12頁 486755 五、發明說明(8) 公司所製之ALCAP(商品名)、Schumacher公司所製之 VELOX(商品名)、Dow化學公司所製之SILK(商品名)等。而 该基於务香族之有機低介電值膜2可使用如化學公司所 製之SILK(商品名)'Allied Signal公司所製之FLARE (商 品名)等。此外,該無機膜可使用為s i 〇2、s i N、s丨c、
Si OF專。該無機低介電值膜可使用如jjsq (Hydrogen Silisesquioxane)等。該無機多孔質膜可使用如為 nanoglass (商品名)等,而該有機s〇g膜可使用H〇SP(商品 名)等之MSQ等。又,當做為硬式遮罩之該含矽絕緣膜3, 右於#刻该有機低介電值膜2後,亦殘留做為層間絕緣膜 時,則最好使用低介電值材料。 其次,如圖4C所示,以周知之微影技術,於該含矽絕 緣膜3上形成一具有預定開口之光阻圖案4。如圖所 示,以該光阻圖案4做為遮罩,以如C4F8/Ar/〇2等之基於氟 的氣體,對該含矽絕緣膜3進行乾蝕刻。接著,如圖4E所 以該B案化之含石夕絕緣膜3做為钱刻料,藉由使用 3二了NH3中混合其他氣體之氣體,對該有機低介電值膜2 订l蝕刻。此%,因形成於該含矽絕緣膜3之該光阻圖 ,於:刻該有機低介電值膜2之同時被去除,故不需於 蝕刻有機低介電值膜前,去除該光阻圖宰4。 CF/A用ΓΛ刻該含石夕絕緣膜3之該基於氟的氣體,除 二V J二」尚有如A、,C4F8/Ar等。而用以蝕 m 膜2之氣體除叫氣體外,尚以如 nh3/n2、nh3/h2、nh3/n2/h2、贿3/〇 等。
第13頁 486755 五、發明說明(9) --- 在此’藉由使用含N &氣體,可增加由母氣體所解離 產生之NH ’以增加蝕刻速率。因此,可縮短做為硬式遮罩 之該含矽絕緣膜3之蝕刻時間。因此,可防止該縮短含矽 絕緣膜3之肩落。又,因NH3容易解離而增加電子密度,故 可減少對該石夕基板1之自偏壓電壓,並可更減少硬式遮罩 於製造時所產生之蝕刻效率。 ^’藉由於NH3氣體中混合n2、H2、02氣體之其一,或 此等氣體之組合,可增加蝕刻速率及加寬過度蝕刻之裕 度。此組合及氣體之混合比可依據蝕刻氣體而輕易決定最 佳條件。 t ^所述’依據本發明之實施例,可防止含石夕絕緣膜 之f/落並形成具有與該光阻圖案4之開口為相同開口直徑、 之貝t ^ 又’與使用\ 氣體之#刻速率相比,其餘 刻^率增加=,特別是使一層間絕緣膜具有由一有機低介電 值膜2^ 最好為無機低介電值膜之含矽絕緣膜3所構成之 ^層、、、°構。藉由以該光阻圖案4蝕刻該含矽絕緣膜3後, 以该含矽絕緣膜3做為遮罩,使用含ΝΗ3氣體蝕刻該有機低 介電值膜2,可更縮短最終之蝕刻時間。 - ^ 又,因該含矽絕緣膜3之蝕刻效率降低,可使該含石夕 絕緣膜3變薄,並降低該層間絕緣膜整體之介電值。同 時’可形成具有高縱橫比及蝕刻剖面形狀接近垂直之開口 部5。例如,將該含矽絕緣膜3之膜厚設為等於或小於〇 . 3 ,最好為01至02//111,將該有機低介電值膜2之膜厚 設為等於或大於O.lvm,最好為〇·2至〇4//m,將該光阻
第14頁 486755 五、發明說明(10) // m ’則可形成具有縱橫比為等 圖案4之開口直徑設為〇. 於或大於1· 5之開口部5。 雖然,於本實施例中,係將一有機低介電值膜2及一 含矽絕緣膜3形成於該矽基板1,然後進行蝕刻,但本發明 並不限於此實施例而可應用於使用一有機低介電 減少配線間之寄生電容之任意情形中,又,亦含 石夕之其他有機膜做為該有機低介電值膜2。 其次,參考圖5,說明本發明之第2實施例之半導體裝 置及其製造方法。圖5 A至5E係為本發明之第2實施例之有 機^低介電值膜之蝕刻方法之製程剖面圖。本實施例係將第 1貫施例之有機低介電值膜之蝕刻方法,適用於一多層配 線結構之半導體裝置。 、 曰 參考圖5A至5E,說明本實施例之半導體裝置之製造方 法。首先、,如圖5A所示,與上述實施例相同,於一矽基板 1上,形成厚度為〇· 2至0· 4 之如基於烴有機絕緣材料、 基於芳香族有機絕緣材料或含氟樹脂膜等之一有機低介電 值膜6a。該有機低介電值膜亦可以旋轉塗佈或cvd法,形 成於如氧化矽膜、氮化矽膜等之絕緣膜或一預定配 上。接著,以CVD或旋轉塗佈法,沈積厚产〇 曰 之如HSQ等之無機低介電值膜、SiN等 …· ^ ^ 所赠 ★丄 心無機膜、無機多孔 貝膜’或有機SOG等之一含矽絕緣膜7a。 其後’如圖5 B所示,以周知之微影枯 从#人 、、、上形成具有預定開口之一光阻圖案8 a。 然後,以該光阻圖案8a做為遮罩,以弘紅六丨制 △ —t ^ M乾蝕刻製程蝕刻
第15頁 486755 五、發明說明(11) 該含矽絕緣膜7 a 。若以S i N做為該含矽絕緣膜7 a時,則 使用以下之蝕刻條件。例如,以CF4/Ar/〇2做為蝕刻氣體、, 流量控制為CF4/Ar/02 = 30/ 1 5 0 / 1 5sccm、壓力為15 mT〇rr (2. Opa),偏壓電力為40 0W。 如圖5C所示,以該含矽絕緣膜7a做為蝕刻遮罩,對該 有機低介電值膜6a進行乾蝕刻。若以SiLK做為該有機低$ 電值膜6a時,則其蝕刻條件如下。例如,以νη3氣體或於 NHS氣體中混合心、Η2或〇2之一之氣體蝕刻此膜。 在此’與第1實施例相同,藉由使用含νη3氣體,因可 增加用於蝕刻之ΝΗ,並減少對該矽基板1之自偏壓電壓, 故可縮短成為硬式遮罩之含矽絕緣膜7a之蝕刻時間,可防. 止該含石夕絕緣膜7 a之肩落產生。 其次’如圖5D所示,沈積一如Ta*TaN之阻障金屬 1 〇a ’及一如Cu之配線金屬丨〇b,用以覆蓋該配線溝槽9之 内面。可使用如濺鍍法形成該阻障金屬1 0 a,及使用電鍍 法形成該配線金屬1 〇 b。其後,於氫氣環境下,進行回火 以改善該配線金屬10b之回流。其次,使用如CMp法進行 研磨,而使於該配線溝槽9内僅殘留該阻障金屬1 Oa及該配 、線金屬10b ’以形成如圖5D所示之一第1配線(配線層)1 0。 、於本實施例中’雖然該配線溝槽9之寬度及間隔分別 為〇 · 2 // m極微細’但依據本實施例之蝕刻方法,因可依遮 罩尺寸賴·準地進行蝕刻,故配線不會產生短路或位置偏 移°又’可以接近垂直剖面地蝕刻該配線溝槽9之側壁, 且不會如習知以氧氣蝕刻該配線溝槽時將該侧壁形成為弓
第16頁 486755 五、發明說明U2) -- 形,故可避免於該配線溝槽9内產生空洞。 其-人,於該第1配線1 〇上形成一預定之配線插塞1 2。 形成該配線插塞12之順序如圖^至^,但該膜之種類、 膜厚及餘刻條件則不同。首先,如圖5E所示,於該第丨、配 線10及該含矽絕緣膜7a上,以旋轉塗佈或CVD法,以〇2至 〇.4//m左右之膜厚,形成如基於烴、基於芳香族或含氟樹 脂之一有機低介電值膜6a。接著,以CVD或旋轉塗佈法, 以以0.1至0.2//m左右之膜厚,沈積如無機低介電值膜、
Si 〇2等之無機膜、無機多孔質膜、有機s〇g膜等之一含石夕 絕緣膜7b。其後,以周知之微影技術形成一於連接插塞孔 1 2部分具有開口之光阻圖案8 a。 然後,如圖5F所示,以該光阻圖案8b做為遮罩,以基 於II的氣體對該含矽絕緣膜7b進行乾蝕刻。然後,如圖 5G所示,以該含矽絕緣膜7b做為遮罩,以NH3氣體或mNH3 氣體中混合&、&或%之氣體,對該有機低介電值膜6b進 行乾姓刻。若以S i 〇2氣體形成該含矽絕緣膜7 b,則該蝕刻 條件如下:以CF4 / Ar/ 〇2做為蝕刻氣體,流量控制為 CF4/Ar/02 = 3 0 / 1 50/15sccm、壓力為15 mTorr (2.0pa), 偏壓電力為4 0 0W。而以SiLK做為該有機低介電值膜⑼,則 姓刻條件最好為如;使用題3氣體、流量6 〇 〇 s ccin、壓力 300mTorr(40 pa)、偏壓電力i,2〇〇W 。 在此,雖然將該有機低介電值膜6b之膜厚製為較該有 機低介電值膜6b為厚,以使其他凹凸區域平坦化(未圖〆 示)’且該介層洞11之縱橫比變大,但於本實施例中°,即
486755
五、發明說明(13) 使該有機低介電值膜6a之膜厚為厚時,因藉由使用nh3或. 含NHS氣體,而可實質上垂直地形成該介層洞丨丨,故可維 持寬的設計裕度。 其後,如圖5 Η所示,如使用減鑛法等,沈積一阻障金 屬12a及一如Cu之連接金屬12b,以覆蓋該介層洞11之内面 後’以C Μ P法進行研磨,而使於該介層洞11内僅殘留該阻 Ρ导金屬12a及該連接金屬12b,而形成與預定之第1配線1〇 相連接之連接插塞1 2。以相同方法形成其後之配線層, 而製成具有多層配線結構之半導體裝置。 如上所述,於製造具有多層配線結構之半導體裝置 中’與第1實施例相同,將該低介電值膜形成具有由一有 機低介電值膜6a、6b及一含矽絕緣膜7a、7b之所構成之2 層結構,而於以光阻圖案8a、8b做為遮罩,以基於氣的氣 體餘刻該含矽絕緣膜7a、7b後,以該含矽絕緣膜7a、71^做 為遮罩,以NH3氣體或含ΜΙ氣體蝕刻該有機低介電值膜 6a、6b。如此,可防止該含矽絕緣膜7&、7b因蝕刻所產生 之肩落’可形成如該光阻圖案8a、8b之開口直徑之配線 溝槽9及介層洞丨丨。又,因該有機低介電值膜之敍刻速率 較使用氣體時為快,故可縮短蝕刻時間。 / 、 同樣地,與第1實施例相同,此包含随3/%、NH /H 、 邮3/〇2及其混合氣體之相同氣體,與單純NH3氣2體一 3樣:口 用為餘刻該有機低介電值膜2。可做為該含;5夕絕緣膜之 獏,包括Si02、SiN、SiC、SiOF等之無機膜、、HSQ等=益 機低介電值膜、MSQ等之有機S0G膜。而可士… 1又為該有機低介
486755 五'發明說明(14) "" 電值膜包括其他不含Si之有機膜。 如上所述,本發明之半導體裝置即其製造方法具有以 下優點。 本發明之第1優點係為:可如遮罩設計尺寸,精確地 蝕刻由基於烴、基於芳香族或含氟樹脂膜之有機低介電值 膜。亦即,因可如此地進行蝕刻,故可避免因蝕刻含矽絕 緣膜而造成肩落,而可形成接近垂直剖面之形狀。此係 藉由於該有機低介電值膜形成該含矽絕緣膜,以一光阻圖 案做為遮罩蝕刻該含矽絕緣膜,然後,以該含矽絕緣膜 做為遮罩,以NH3或含NH3氣體蝕刻該有機低介電值膜。、 又,本發明之第2優點係為:與使用^/jj2進行蝕刻 相比,可縮短蝕刻時間,而可增進產能。亦即,因藉 用或NHS或含NHS氣體,可增加由母氣體所解離產生之 數量,而增加钱刻速率。 之 在較佳實施例之詳細說明中所提出之具體 以方便說明本發明之技術内容,而非將本發明狹 用 於上述實施例,在不超出本發明之精神及以下申过限制 圍之情況,可能作種種變化實施。 明專利範
第19頁 486755 圖式簡單說明 【圖式之簡單說明】 圖1A係為習知技術之有機低介電值膜蝕刻方法之制 剖面圖。 圖1B係為習知技術之有機低介電值膜蝕刻方法之制 剖面圖。 圖1 c係為習知技術之有機低介電值膜蝕刻方法 剖面圖。 衣 程 圖1 D係為習知技術之有機低介電值膜蝕刻方法 剖面圖。 & 圖1E係為習知技術之有機低介電值膜蝕刻方 剖面圖。 衣狂 圖1F係為習知技術之有機低介電值膜蝕刻方法之製程 剖面圖。 圖1G係為習知技術之有機低介電值膜蝕刻方法之制 剖面圖。 圖1 Η係為習知技術之有機低介電值膜蝕刻方法之制 剖面圖。 衣不王 之剖面圖 圖2Α係為說明有機低介電值膜之習知蝕刻方法之 ΤΤΠ f^I Q 4 之問題 圖2B係為說明有機低介電值膜之習知蝕刻方法 之剖面圖。 / 圖2C係為說明有機低介電值膜 之剖面圖。 、〈“口蝕刻方法之問題 圖2D係為說明有機低介電值膜之習知蝕刻方法之問題
I Ϊ 第20頁 486755 圖式簡單說明 之剖面圖。 圖2 E係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖3A係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖3B係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖3C係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖3D係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖3E係為說明有機低介電值膜之習知蝕刻方法之問題 之剖面圖。 圖4 A係為本發明之第1實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖4B係為本發明之第1實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖4C係為本發明之第1實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖4D係為本發明之第1實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖4E係為本發明之第1實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5A係為本發明之第2實施例之具有多層配線結構之
第21頁 486755 圖式簡單說明 半導體裝置之製造方法之製程剖面圖。 圖5B係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5C係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5D係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5E係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5F係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5G係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 圖5H係為本發明之第2實施例之具有多層配線結構之 半導體裝置之製造方法之製程剖面圖。 【符號之說明】 1 $夕基板 2 有機低介電值膜 3 含碎絕緣膜 4 光阻圖案 5 開口部 6a 有機低介電值膜 6b 有機低介電值膜 7a 含矽絕緣膜
第22頁 486755
第23頁

Claims (1)

  1. 486755 六、申請專利範圍 1 · 一種絕緣膜之餘刻方法,包含下述步驟: 以含NH3氣體,蝕刻由有機低介電值膜所 間絕緣膜。 < 2· —種半導體裝置之製造方法,具有以下步驟: 於一基板上形成一有機低介電值膜; 於該有機低介電值膜上,形成一含矽絕緣膜· 及 蝕刻 去除該含矽絕緣膜之一部分,以形成一第丨開’口 使用該含;5夕絕緣膜’以該第1開口做為第1遮罩 該有機低介電值膜; 〜 其中姓刻該有機低介電值膜之製程係使用含龍 體。 3 3 ·如申請專利範圍第2項之半導體裝置之製造方法,其 中,該氣體係為於關3中混合至少%、&、%之一 /。 ’、 4·如申請專利範圍第3項之半導體裝置2之製2造方法,盆 中,該含矽絕緣膜包含Si〇2、SiN、Sic、Si〇F、有-SOG、無機多孔質膜及無機低介 5. 如申請專利範圍第3項之半導體;置造;法,其 低介電值膜至少包含非含矽有機膜、基於烴之 有機低介電值膜、基於芳香族之有機低介電值膜及含氟榭 脂膜中之其一。 包沮朕夂3亂树 6. 如申請專利範圍第3項之半導體裝置之 具有以下步驟: 乃次具更 於該含石夕絕緣膜上,形成一光阻; 除去該光阻之一部分,以形成一第2開口;
    申凊專利範圍 有該ΐ 2開口;除去該含矽絕緣膜之一部分之步驟,係以具 電值贈: 之該光阻做為第2遮罩,而於姓刻該有機低介 7·如步驟中,除去該光阻。 中,以^專利範圍第6項之半導體裝置之製造方法,其 總和,^有機低介電值膜之膜厚與該含石夕絕緣膜之膜厚之 8·如申I从該第1開口之直徑所得之縱橫比大於1· 5。 :專利範圍第7項之半導體裝置之製造方法,其 9如機低介電值膜之該膜厚大於ο.ι#111。 明專利範圍第7項之半導體裝置之製造方法,其 含石夕絕緣膜之膜厚為小於0.3 。 •如申請專利範圍第7項之半導體裝置之製造方法,其 ’該第1開口之該直徑為略大於〇. 2 。 •一種半導體裝置之製造方法,具有以下步驟: 於一基板上形成一第丨有機低介電值膜; 有機低介電值膜上,•成一扪含矽絕緣膜; 第1含矽絕緣膜之一部分,以形成一第i開口; ㈣it /第1開口之該第1切絕緣職為第1遮罩, 值低介電值膜’以形成貫穿該第1有機低介電 值膜及該第1含矽絕緣膜之至少一貫通孔; 於該至少一貫通孔之全内表面,形成阻障金屬 膜;及 於該第1阻障金屬膜上形成一第丨連接金屬膜,以 該至少一貫通孔; 其中蝕刻該第丨有機低介電值膜之該步驟係使用一含
    六 、申請專利範圍 nh3之氣體。 1 2 ·如申請專利範圍笫 中’該氣體係為nh3混人至,,、N半導體裝置之製造方法,其 中,該第1含矽絕緣膜包人體裝置之衣造方法,其 S0G、無機多孔質又、SlN、=C、Si〇F、有機 如申請專利範圍第 中’該第1有機低介電值膣5:導體裝f之衣造方法,其 烴之有機低介電值膜 、乂包含非3矽有機膜、基於 含氣樹脂収=膜、基於芳香族之有機低介電值膜、或 如古申清專利範圍第1 2項之半導體裝置之製造方法,A 更具有以下步驟: 、乃次,其 於該第1含矽絕緣膜上,形成一光阻;及 去除該光阻之一部分以形成一第2開口; 其中去除該第1含矽絕緣膜之一部分之步驟係以該具 有第2開口之該光阻做為第2遮罩,且該光阻於蝕刻該第^ 有機低介電值膜之步驟中去除。 1 6 ·如申請專利範圍第1 5項之半導體裝置之製造方法,i 中,以該第1有機低介電值膜之膜厚與該第1含矽絕緣膜之 膜厚之總和,除以該第1開口之直徑所得之縱橫比大於 17 ·如申請專利範圍第1 6項之半導體裝置之製造方法,其 更具有以下步驟: 於該第1含矽絕緣膜及形成於該第1有機低介電值膜之
    第26頁 486755 六、申請專利範圍 -- 該第1連接金屬膜上,形成一第2有機低介電值膜. 於該第2有機低介電值膜上’形成一第2含矽絕緣膜; 去除該第2含矽絕緣膜之一部分,以形成笛Q 、’ /力乂第3開口;及 以該具有第3開口之該第2含矽絕緣膜做為第3遮罩, 蝕刻該第2有機低介電值膜,以形成貫穿該第2有機低介電 值膜及該第2含矽絕緣膜之至少一第2貫通孔; -1 — 其中姓刻該第2有機低介電值膜之該步驟係使用—含 NH3之氣體。 3 1 8·如申請專利範圍第1 7項之半導體裝置之製造方法,其 中,該氣體係為NH3混合至少N2、H2、〇2之一。 八 19.如申請專利範圍第18項之半導體裝置之製造方法,其 更具有以下步驟: 於連結該第1連接金屬膜與該第1阻障金屬膜之該至少 第2貫通孔之全内表面,形成一第2阻障金屬膜;及 於該第2阻障金屬膜上形成一第2連接金屬膜,以填充 該至少第2貫通孔。 2 0 · —種具有多層配線結構之半導體裝置,其具備: 一基板; 一層間絕緣膜,包含形成於該基板之一有機低介電 值膜及形成於該有機低介電值膜上之一含矽絕緣膜;及 一貫通孔,形成於該層間絕緣膜; 其中該貫通孔係以使用含NH3氣體之乾蝕刻所形成, 且具有大於1. 5之縱橫比。
    第27頁
TW090109694A 2000-04-21 2001-04-20 Semiconductor device and manufacturing method of the device TW486755B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000120337A JP2001308175A (ja) 2000-04-21 2000-04-21 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
TW486755B true TW486755B (en) 2002-05-11

Family

ID=18631164

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090109694A TW486755B (en) 2000-04-21 2001-04-20 Semiconductor device and manufacturing method of the device

Country Status (4)

Country Link
US (1) US20010034137A1 (zh)
JP (1) JP2001308175A (zh)
KR (1) KR20010098774A (zh)
TW (1) TW486755B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9449915B2 (en) 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same
TWI552353B (zh) * 2014-12-15 2016-10-01 旺宏電子股份有限公司 半導體元件及其製造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
KR100419746B1 (ko) * 2002-01-09 2004-02-25 주식회사 하이닉스반도체 반도체소자의 다층 금속배선 형성방법
JP4775834B2 (ja) 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
US6921978B2 (en) 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
JP4749683B2 (ja) * 2004-06-08 2011-08-17 東京エレクトロン株式会社 エッチング方法
US7282735B2 (en) * 2005-03-31 2007-10-16 Xerox Corporation TFT having a fluorocarbon-containing layer
JP2006303307A (ja) * 2005-04-22 2006-11-02 Toshiba Corp 半導体装置およびその製造方法
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2008078617A (ja) 2006-08-25 2008-04-03 Canon Inc 構造体の製造方法
JP5120913B2 (ja) * 2006-08-28 2013-01-16 国立大学法人東北大学 半導体装置および多層配線基板
US9401329B2 (en) 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103560078B (zh) * 2013-11-13 2016-04-20 中国科学院微电子研究所 一种精确控制碳化硅高温离子注入掩模陡直性的方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077409A (ja) * 1998-08-31 2000-03-14 Fujitsu Ltd 半導体装置の製造方法
JP2000252359A (ja) * 1999-03-03 2000-09-14 Sony Corp 絶縁膜のエッチング方法および配線層の形成方法
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552353B (zh) * 2014-12-15 2016-10-01 旺宏電子股份有限公司 半導體元件及其製造方法
US9449915B2 (en) 2014-12-24 2016-09-20 Macronix International Co., Ltd. Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
JP2001308175A (ja) 2001-11-02
KR20010098774A (ko) 2001-11-08
US20010034137A1 (en) 2001-10-25

Similar Documents

Publication Publication Date Title
TW461036B (en) Method for forming a semiconductor device
US10978389B2 (en) Semiconductor device and manufacturing method thereof
TW522490B (en) Etching method with dual-damascene structure
TW486755B (en) Semiconductor device and manufacturing method of the device
US7319274B2 (en) Methods for selective integration of airgaps and devices made by such methods
US8183694B2 (en) Reversing tone of patterns on integrated circuit and nanoscale fabrication
US9218970B2 (en) Stress-controlled formation of TiN hard mask
KR100692471B1 (ko) 반도체 장치의 제조 방법
TWI299190B (en) Method of etching a trench in a silicon-containing dielectric material
JP2006013190A (ja) 半導体装置の製造方法
TW483059B (en) Dry etching method and semiconductor device manufacture method
TW201735254A (zh) 半導體結構與其製造方法
TW567530B (en) Manufacturing method semiconductor integrated circuit including simultaneous formation of via hole reaching metal wiring and concave groove in interlayer film and semiconductor integrated circuit manufactured with the manufacturing method
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
WO2007116515A1 (ja) 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
TWI690003B (zh) 用於形成雙鑲嵌互連結構的方法
CN112951721A (zh) 用于光致抗蚀剂线粗糙度改善的沟槽蚀刻工艺
US7172965B2 (en) Method for manufacturing semiconductor device
CN102034733A (zh) 互连结构及其形成方法
JP2004095902A (ja) 半導体装置の製造方法
JP2005005697A (ja) 半導体装置の製造方法
TW457635B (en) Manufacturing process of copper structure
TWI254986B (en) Method for fabricating a dual damascene and polymer removal
TW424301B (en) Manufacturing method for dual damascene
TWI823228B (zh) 製造半導體結構的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent