TW457635B - Manufacturing process of copper structure - Google Patents

Manufacturing process of copper structure Download PDF

Info

Publication number
TW457635B
TW457635B TW89107781A TW89107781A TW457635B TW 457635 B TW457635 B TW 457635B TW 89107781 A TW89107781 A TW 89107781A TW 89107781 A TW89107781 A TW 89107781A TW 457635 B TW457635 B TW 457635B
Authority
TW
Taiwan
Prior art keywords
layer
patent application
scope
item
silicon nitride
Prior art date
Application number
TW89107781A
Other languages
Chinese (zh)
Inventor
Tz-Liang Li
Original Assignee
Ind Tech Res Inst
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ind Tech Res Inst filed Critical Ind Tech Res Inst
Priority to TW89107781A priority Critical patent/TW457635B/en
Priority to JP2000307010A priority patent/JP2001313336A/en
Application granted granted Critical
Publication of TW457635B publication Critical patent/TW457635B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

This invention provides a manufacturing process to form a dual damascene opening in a composite insulation layers for dual damascene copper structure. This process is characterized by the use of a composite insulation layer of silicon oxide layer and multiple silicon nitride stop layers, using anisotropic reactive ion etching on the composite insulation layer to form the dual damascene opening. The increase in capacitance is minimized by reducing the thickness of the multiple silicon nitride stop layers to an extreme extent without sacrificing their function as etching stop layers. The dual damascene copper structure can reduce the RC delay effectively due to the better conductivity of copper and thinner silicon nitride stop layers in the composite insulation layer.

Description

457635 五 '發明說明(1) ' 發明的領域: 本發明係有關於製造半導體元件之製程,特別是有關 於在絕緣層中形成一雙嵌入開口以容納一銅介面連接結構 及銅導孔結構之製造方法。 發明的背景: 由於次微求 > 或謂微小化製程技術的應用,使得積體 電路内部的電路密度愈來愈增加而使得半導體工業能進展 至超大型積體電路(V e r y L a I* g e S e a 1 e I η t e g I* e t e d, vysI)晶片’微小化製程的發展更突顯出某些特定半導體 製程技術的^要性,如微影和乾式蝕刻等製程。高精密型 ,光儀f和高感光材料的發展已使光阻層上的次微米影像 可以平¥地獲知,再者,先進乾式蝕刻的設備與技術應用 於超大型積體電路晶片之製造上亦使光阻層上的次微米影 =可以精確地描摹至其下的被蝕刻材。然而,要更縮小半 導體晶片的尺寸除了上述先進製程技術的創新外亦須研發. 其他特殊製程或結構,因此,一種可以獲得金屬線的雙嵌 入圖案創新製程被開發出來。 製的特徵在於 在-絕緣層上形成一包括—下;;口及一上部的寬開 口之雙嵌入圖帛’再將絕緣層上的雙嵌入開口以金屬填滿 而產生一在雙嵌入開口内之金屬結構其包括一位於寬開口 的金屬^面連接結構,及—位於窄開口的金屬導孔結構D 、。。雙嵌入製程中的金屬介面連接結構及金屬導孔結構是 以單一金屬填充,較優於傳統製程須分兩次成形金屬導孔457635 Five 'Inventions (1)' Field of invention: The present invention relates to the process of manufacturing semiconductor components, and in particular, to the formation of a pair of embedded openings in an insulating layer to accommodate a copper interface connection structure and a copper via structure. Production method. Background of the invention: Due to the application of submicron > or miniaturization process technology, the circuit density inside the integrated circuit is increasing, and the semiconductor industry can progress to very large integrated circuits (Very L a I * The development of wafer miniaturization process has further highlighted the importance of certain specific semiconductor process technologies, such as lithography and dry etching processes. The development of high-precision, photometer and high-sensitivity materials has enabled sub-micron images on photoresist layers to be known flatly. In addition, advanced dry etching equipment and technology are applied to the manufacture of ultra-large integrated circuit wafers. Submicron shadows on the photoresist layer can also be accurately traced to the material to be etched below. However, in order to reduce the size of semiconductor wafers, in addition to the above-mentioned innovations in advanced process technology, R & D is also required. Other special processes or structures, therefore, a dual-embedded pattern innovation process that can obtain metal wires has been developed. The system is characterized in that an inclusive layer is formed on the -insulating layer; a double-embedded figure with a wide opening at the top and an upper portion of the insulating layer is filled with metal to create a double-embedded opening in the double-embedded opening. The metal structure includes a metal surface connection structure at a wide opening, and a metal via structure D at a narrow opening. . The metal interface connection structure and the metal via structure in the dual-embedding process are filled with a single metal, which is better than the traditional process where the metal vias must be formed twice.

第4頁Page 4

、’'。構及金屬介面連接結構。 止兮办 王一雙肷入開口之一關鍵性的步驟在於形成或終 圖^兄開口的能力,是要使得位在一絕緣層上部的寬開口 不會轉移至該絕緣層之下部,而此該絕緣層下部是作 马形志宽pq e I I〜 r 傳 j 口之用。一種用來解決此問題的方法是使用一 ^ ^ 匕疋位在第一介面介電(Interlevel IU)層與第二介面介電層之間,在形成所要 岸之卜=之後即在位於未蝕刻的下部或謂第一介面介電 二形狀的ί止層沉積一上部或謂第二介面介電層一寬開 的覃I,、阻被用來作為在第二介面介電層内產生寬開口 選埋η μ而寬開口形成後將露出具有窄開口的停止層。一 ^^乾❹刻製程用來作為形成以二介面介電層中 摹丄的内的窄開口作為罩幕將圖案描 下的暴路於停止層内的窄開口下的第一介面 1。…、、而,要有效的防止對於第一介面介 =用-在乾式㈣製程中呈現低移除率的; 作為餘刻隔離層。目此’若使用低介ft數的氧 = 兩層’則停止層通常使用高介電常數的氮化: 而達成所希望的選擇性蝕刻。然而,由於一夕, 必層其介電常數約為8是所要的但其所產生的電容會择5夕停 RC de 1 ays而造成元件的性能變差卻是不希望得到㈢口 本發明將提供一新穎的製程其可以在介面介雷。 成一雙嵌入開口以容納金屬介面連接及金屬導孔处^ : 而,本發明之特徵在於縮減氮化矽停止層的總厚度’=, ''. Structure and metal interface connection structure. One of the key steps for Zhiyi Wangwang to penetrate into the opening is the ability to form or finalize the opening of the brother, so that the wide opening located on the upper part of an insulating layer will not be transferred to the lower part of the insulating layer, and this insulating The lower part of the floor is used for the horse-shaped Zhikuan pq e II ~ r pass j mouth. One method to solve this problem is to use a ^ ^ bit position between the first interface dielectric layer (Interlevel IU) layer and the second interface dielectric layer. The lower part of the dielectric layer of the first interface is deposited, the upper part of the dielectric layer of the second interface is deposited, and the upper part of the dielectric layer of the second interface is wide-opened. The barrier is used to create a wide opening in the dielectric layer of the second interface. Selectively burying η μ and forming a wide opening will expose a stop layer with a narrow opening. A ^^ dry-etching process is used to form the first interface 1 under the narrow opening in the stop layer by using the narrow opening inside the 摹 丄 in the two-layer dielectric layer as a mask to trace the pattern. …, And, it is necessary to effectively prevent the first interface from being used in a dry process with a low removal rate; as a remaining isolation layer. Thus, if a low dielectric ft number of oxygen = two layers is used, the stop layer is usually nitrided with a high dielectric constant: to achieve the desired selective etching. However, because the dielectric constant of the required layer is about 8 overnight, the capacitance produced by the layer must be RC de 1 ays and the performance of the device deteriorates. However, it is not desirable to obtain the present invention. Provide a novel process which can be used in the interface. Form a pair of embedded openings to accommodate metal interface connections and metal vias ^: However, the present invention is characterized by reducing the total thickness of the silicon nitride stop layer '=

457 6 3t457 6 3t

習知技術所採用的厚氮化珍層比較’以此方式可使電容 到最少。本發明之特徵在於使用多重氮化矽停止層,策^ 性地置於氧化£夕絕緣層間’因其仍較單一的厚氣化石夕傳 層薄所以可滅少元件性能變差的程度。絕緣層的堆疊用^ 得到雙嵌入開口依圖案化的順序包括一下部或謂第一介' 介電層以得到窄開口之洞,而一薄的第一氮化^層用^ = 離第一介面介電層之上、下兩部分。絕緣層的堆疊亦包= 一上部或謂第二介面介電層其是覆蓋在一位於第一介面介 電層上表面上的第二氮化妙層之上。此種配置允許使用選 擇性的反應離子钱刻(Reactive ion etching,RIE)製程 以產生一在第二介面介電層與第二氣化石夕層内的寬開口, 同時在第一介面介電層與第一氮化矽層内形成所要求的窄 開口。多重氮化矽層的總厚度是小於雙嵌入製程中使用的 早氮化石夕層的厚度’習知技術中如Avanzino等人於11.5·The comparison of the thick nitride layers used in the conventional technique 'minimizes capacitance in this way. The present invention is characterized in that a multiple silicon nitride stop layer is used, and it is strategically placed between the oxidation and insulation layers' because it is still thinner than a single thick gasified layer, so it can reduce the degree to which the device performance deteriorates. The stack of insulating layers is used to obtain double-embedded openings in a patterned order including the lower portion or the first dielectric 'dielectric layer to obtain a narrow opening hole, and a thin first nitride layer ^ = away from the first Above and below the interface dielectric layer. The stack of insulating layers also includes an upper or second interface dielectric layer which is overlaid on a second nitride layer on the upper surface of the first interface dielectric layer. This configuration allows the use of a selective reactive ion etching (RIE) process to create a wide opening in the second interface dielectric layer and the second gasified stone layer, and at the same time in the first interface dielectric layer A desired narrow opening is formed in the first silicon nitride layer. The total thickness of the multiple silicon nitride layer is less than the thickness of the early nitrided layer used in the dual-embedding process. In the conventional technique, such as Avanzino et al. 11.5 ·

Patent No. 5,686,354 及Huang 等人於U.S. Patent No. 5, 6j5, 423所提出雙嵌入製程並未提到本發明所採用的能* 比單一厚的氮化矽停止層產生較少電容之多重停止層。 發明之概述: 本發明的目的在於應用雙嵌入製程製作金屬介面連接 結構及金屬導孔結構。 本發明之另一目的為在—包括低介電常數的絕緣層及 位於複合絕緣層之各層中的多重薄的氮化矽層所組成的複Patent No. 5,686,354 and Huang et al. In US Patent No. 5, 6j5, 423 do not mention the dual-embedding process which can be used in the present invention to produce multiple stops with less capacitance than a single thick silicon nitride stop layer Floor. Summary of the Invention: The purpose of the present invention is to use a dual-embedding process to fabricate a metal interface connection structure and a metal via structure. Another object of the present invention is to provide a composite layer consisting of an insulating layer with a low dielectric constant and multiple thin silicon nitride layers in each layer of the composite insulating layer.

第6頁 457636 五、發明說明(4) 而本發明 階段雙嵌入圖 可以在複合絕 階段雙嵌入圖 複合絕緣層的 為罩幕亦使得 成。 依據本發 雙戒入開口以 乾式蝕刻製程 層。複合絕緣 介面連接結構 如氧化Έ夕層、 —第二 影及第 、第二 氧化矽 次蝕刻 影及第二次蝕刻 明所提供 容納其後 中應用特 層是在障 的上面。 一薄的第 層形成寬 將圈案描 的選擇性 受到侵蝕 移除暴露 氮化石夕層及一第 一次蝕刻製程是 絕緣層及 絕緣層對 製程可以 嵌入的 停止 位於襯底金屬 一第一絕緣層 =該另—目的是應用一第一氮化矽層在第一 邊庳沾中乍為停止層使得一初始的窄開口 f層的上部形成,#以第二氮化矽層 二 案:程序中作為停止層使得一寬開口可以: 士 ^形成,而以第二氮化矽層中的窄開口作 一取終的窄開口可以在複合絕緣層的下部形 矽層 一次微 化矽層 開口。 得第一 二次微 而在第 開口作 矽層在 絕緣層 選 三絕緣 為罩幕 第二次 的下部 擇性的 的製程 的雙嵌 殊的多 壁層形 一複合 一氮化 第一氮 於氮化 成功的 製程是 開口且 摹至複 餘刻製 而能得 在雙嵌 可以在 入金屬 重薄的 成之後 絕緣層 三絕緣 用以在 化矽層 石夕層的 終止在 以第二 以第二 合絕緣 程中作 到所要 入開口 複合絕 結構, 氮化矽 沉積而 包括: 一第二 層如氧 第三絕 等之内 被餘刻 第一絕 氮化矽 氮化矽 層的下 為停止 求的最 之下的 緣層内 及在雙 層作為 絕緣層 化矽層 緣層、 形成初 速率的 緣層前 層作為 層内的 部。第 層以防 終的窄 障壁層 形成 如氧化 。一第 第二氮 始的窄1 比率使 。一第 停止層 初始窄 二氮化 止複合 開口。 會導致Page 6 457636 V. Description of the invention (4) The stage double-embedded graph of the present invention can be double-embedded in the composite insulation stage. The composite insulation layer is also used as a cover. According to the present invention, the double-entry opening is used to dry-etch the process layer. Composite insulation interface connection structure, such as the oxide layer, the second shadow and the second, the second silicon oxide, the second etching and the second etching, provided by the application layer to accommodate the subsequent application of the special layer is on top of the barrier. A thin second layer is formed by a wide range of selective etching to remove the exposed nitride stone layer and a first etching process is an insulating layer and the insulating layer can be embedded in the process and is located on the substrate metal-a first insulation Layer = this—the purpose is to apply a first silicon nitride layer on the first edge to stop the layer so that an upper part of an initial narrow opening f layer is formed, and the second case is the second silicon nitride layer: procedure As a stop layer in the middle, a wide opening can be formed, and the narrow opening formed by the narrow opening in the second silicon nitride layer can be used to micronize the silicon layer opening at a time in the lower silicon layer of the composite insulating layer. The first and second micro-layers are made of a silicon layer in the first opening, three in the insulating layer, and three insulating layers for the second selective process in the lower part of the mask. The successful process of nitridation is open and engraved to the redundant cutting process. After double embedding, the insulating layer can be triple-insulated after the metal is thinned. It is used to terminate the silicon layer and the silicon layer. In the insulation process, the required composite opening structure is formed. The silicon nitride is deposited and includes: a second layer such as oxygen and a third insulation layer are etched under the first silicon nitride layer and the silicon nitride layer is stopped. The edge layer in the lowermost layer and the silicon layer edge layer in the double layer are used as the insulating layer, and the edge layer front layer that forms the initial rate is the portion in the layer. The first layer prevents the formation of a final narrow barrier layer such as oxidation. A narrow 1 ratio starting from the second nitrogen makes. A first stop layer is initially narrow and the dinitride stops the compound opening. Will cause

第7頁 457635 五、發明說明(5) — 暴露在寬開口中的第二氮化矽層部分被移除。在除去所定 義的光阻後將複合絕緣層内的雙嵌入開口沉積金屬以填滿 開口。再將第三絕緣層上表面的多餘金屬除去即可得到一 包括位於寬開口之内的金屬介面連接結構及與金屬介面連 接結構底部接觸而在下面的且位於最終的窄開口之内的金 屬導孔結構之雙嵌入金屬結構。 圖式之簡單說明: 為了讓本發明之上述和其他目的、特徵、和優點能更 明顯易僅,所附圖表說明如下: 第1圖係顯示隨後將在其上形成雙嵌入開口的複合絕 緣層之剖面示意圖。 第2圖係顯示在複合絕緣層内形成初始的窄開口 1 0 a之 剖面示意圖。 第3圖係顯示帶有直徑約為〇* 28至〇. 38微米之寬開口 12a的光阻11成形在氧化矽層8之上表面,該上表面露出初 始的窄開口 1 〇a與部分的氧化矽層8之剖面示意圖。 f 4圖係顯不由於選擇性的蝕刻率使得寬開口丨2b能依 所預疋,結果只存在於氧化矽層8,此步驟亦會導致暴露 在原先氮化矽層7内的初始的窄開口圖案下的氧化矽層4亦 被蝕刻之剖面示意圖。 第5圖係顯不移除暴露在最終的窄開口 1 Ob之下的氮化 區域’此步驟亦會移除暴露在寬開口m之下的氮化 矽層7之剖面示意圖。Page 7 457635 V. Description of the Invention (5)-The part of the second silicon nitride layer exposed in the wide opening is removed. After the defined photoresist is removed, the double embedded openings in the composite insulating layer are deposited with metal to fill the openings. Then, the excess metal on the upper surface of the third insulating layer is removed to obtain a metal interface connection structure located in the wide opening and a metal guide in contact with the bottom of the metal interface connection structure and underneath and within the final narrow opening. The double embedded metal structure of the hole structure. Brief description of the drawings: In order to make the above and other objects, features, and advantages of the present invention more obvious and easy, the attached diagram is described as follows: Figure 1 shows a composite insulating layer with double embedded openings formed thereon later Schematic cross-section. Fig. 2 is a schematic cross-sectional view showing the formation of an initial narrow opening 10a in the composite insulating layer. Figure 3 shows that a photoresist 11 with a wide opening 12a having a diameter of approximately 0 * 28 to 0.38 micrometers is formed on the surface of the silicon oxide layer 8, and the upper surface exposes the initial narrow opening 10a and part of the A schematic cross-sectional view of the silicon oxide layer 8. The f 4 picture shows that the wide openings 2b can be predicted as a result of the selective etching rate, and the result is only present in the silicon oxide layer 8. This step will also cause the initial narrowness exposed in the original silicon nitride layer 7. A schematic cross-sectional view of the silicon oxide layer 4 under the opening pattern is also etched. Fig. 5 is a schematic cross-sectional view showing that the nitrided region exposed under the final narrow opening 1 Ob is not removed. This step will also remove the silicon nitride layer 7 exposed under the wide opening m.

第8頁 457635 五、發明說明(6) · ----- 第6圖係顯示在雙嵌入開口内成形金屬層後,再 化學機械研磨法除去在氧化石夕層8之上的多餘金属心壁 層後即形成雙嵌入金屬結構丨3之剖面示意圖。 較佳實施例: 為了讓本發明之上述和其他目的、特徵、和優點能 明顯易懂,下文特舉一些較佳實施例,並配合所附圖 詳細說明如下: 在以絕緣層如氧化矽層及多重薄的氮化矽層所組成的 複合絕緣層中製造一雙嵌入開口以容納其後的雙嵌入金屬 結構將詳述如下。複合絕緣層中的絕緣層可以使用低介電 常數的材料’如氧化硬或蝴石夕酸麟 (Boro-phosphosilicate)其介電常數約為3.9,而其他的 低介電常數的材料若電容低且可提昇性能,如氫倍半梦環 氧乙院(Hydrogen Silsesquioxane,HSQ)其介電常數約 為2.8至3.0,可用以取代氧化梦。 第1圖係顯示隨後將在其上形成雙嵌入開口的複合絕 緣層之剖面示意圖。首先將氧化矽層1施以化學機械研 磨,以得到一平整的上表面。其次藉由傳統的微影及反應 離子蝕刻(R IE )以得到一在氧化矽層I内的開口,再於開口 内沉積一由銅或鋁或耐火金屬如鎢所組成的金屬介面連接 結構2。本發明之金屬介面連接結構2若要沉積銅須在鋼沉 積前使用一複合的黏結障壁層如鈦-氤化鈦(圖上未顯示) 塗覆於氧化矽層1内的開口之側壁’以防止銅污染鄰近的Page 8 457635 V. Description of the invention (6) · ----- Figure 6 shows that after the metal layer is formed in the double-embedded opening, the excess metal core on the oxide stone layer 8 is removed by chemical mechanical polishing. A schematic cross-sectional view of the double-embedded metal structure 3 is formed after the wall layer. Preferred Embodiments: In order to make the above and other objects, features, and advantages of the present invention obvious and easy to understand, some preferred embodiments are given below and described in detail with the accompanying drawings as follows: An insulating layer such as a silicon oxide layer is described below. A double-insertion opening is formed in a composite insulating layer composed of multiple thin silicon nitride layers to accommodate the subsequent double-embedded metal structure, which will be described in detail below. The insulating layer in the composite insulating layer can use a material with a low dielectric constant, such as hard oxide or Boro-phosphosilicate. The dielectric constant is about 3.9, while other materials with a low dielectric constant have a low capacitance. And can improve performance, such as Hydrogen Silsesquioxane (HSQ) has a dielectric constant of about 2.8 to 3.0, which can be used to replace the oxidized dream. Figure 1 is a schematic cross-sectional view of a composite insulating layer on which a double embedded opening will be formed later. The silicon oxide layer 1 is first subjected to chemical mechanical polishing to obtain a flat upper surface. Secondly, through conventional lithography and reactive ion etching (R IE) to obtain an opening in the silicon oxide layer I, and then deposit a metal interface connection structure composed of copper or aluminum or a refractory metal such as tungsten in the opening 2 . If the metal interface connection structure 2 of the present invention is to be deposited with copper, a composite adhesive barrier layer such as titanium-titanium halide (not shown in the figure) shall be applied to the side walls of the opening in the silicon oxide layer 1 before the steel is deposited. Prevent copper from contaminating nearby

第'9頁 457635Page '9 457635

五、發明說明(7) 材米I在以R· F.濺鍍製程沉積複合的黏結障壁層及鋼 後三夕餘的金屬層可以化學機械研磨法除去,或採用以氟 基氣體作為钱刻劑的選擇性反應離子蝕刻(RIE)除去。 銅作為金屬介面連接結構2成形完成後,R. F. ,鐘=私需以低壓化學氣相沉積(LPCVD)或電漿促進化學 ,相〉儿積(PECVD)成形一厚度約30 0至1 000 A的障壁層,或 謂金屬(層3如氮化妙層。金屬護層3亦作為障壁層以 銅與Ik後覆蓋在其上的材料相互反應。氧化矽層4是以低 壓化學氣相沉積或電锻促進化學氣相沉積成形一厚度約^ 5 0 Ο?至6 0 0々0 A之薄膜。一關鍵的薄第二氮化矽層5亦是以 低壓化學氣相沉積或電漿促進化學氣相沉積在氧化矽層* 之上成形一厚度約.丨25至175 A之薄膜。第二氮化矽層5在 往後的第一次微影及第一次蝕刻製程中是用以作為停止 層。^ 一氧化矽層6亦是以低壓化學氣相沉積或電漿促進 化學氣相沉積成形一厚度約3〇〇〇至4〇〇〇 A之薄膜。 第二氮化矽層7作為形成寬開口的停止層,其是以低 壓化學氣相沉積或電漿促進化學氣相沉積成形一厚度約 800至900A之薄膜。隨後的氧化矽層8亦是以低壓化學氣 相沉積或電漿促進化學氣相沉積成形一厚度約5〇〇〇至6〇〇〇 A之薄膜。複合絕緣層中所使用的材料如氧化矽層4、6、 8是考慮其低的介電常數,約為3. 9,而可以減少電容以降 低RC delay。而若要更減少電容可以低介電常數的材料, esquioxane, HSQ) 。氫倍半矽環氧乙烷 如氫倍半矽環氧乙烷(Hydrogen Si 1S 其介電常數約為2.8至3.0取代氧化石夕V. Description of the invention (7) Caimi I deposited the composite adhesive barrier layer and the metal layer of steel after the R · F. Sputtering process can be removed by chemical mechanical grinding method, or using fluorine-based gas as the money engraving Reactive Ion Etching (RIE) of the agent. After copper is used as the metal interface connection structure 2, RF, clock = private need to use low pressure chemical vapor deposition (LPCVD) or plasma to promote chemistry, phase> child product (PECVD) to form a thickness of about 30 to 1 000 A Barrier layer, or metal (layer 3, such as a nitride layer). The metal protective layer 3 also acts as a barrier layer with copper and the material covered by Ik after each other. The silicon oxide layer 4 is formed by low-pressure chemical vapor deposition or electrical Forging promotes chemical vapor deposition to form a thin film with a thickness of about 5 0 0? To 6 0 0 0 A. A key thin second silicon nitride layer 5 is also a low pressure chemical vapor deposition or plasma to promote chemical gas. Phase deposited on the silicon oxide layer * to form a thin film with a thickness of about 25 to 175 A. The second silicon nitride layer 5 is used as a stop in the subsequent first lithography and first etching process. ^ The silicon monoxide layer 6 is also formed by a low-pressure chemical vapor deposition or plasma-assisted chemical vapor deposition to form a thin film having a thickness of about 3,000 to 4,000 A. A second silicon nitride layer 7 is used to form the film. Wide-opening stop layer, which is formed by low-pressure chemical vapor deposition or plasma-promoted chemical vapor deposition. A thin film of about 800 to 900 A. The subsequent silicon oxide layer 8 is also formed by a low pressure chemical vapor deposition or a plasma-assisted chemical vapor deposition to form a thin film having a thickness of about 5000 to 6000 A. In the composite insulating layer Materials such as silicon oxide layers 4, 6, and 8 are considered for their low dielectric constant, which is about 3.9, and the capacitance can be reduced to reduce the RC delay. To reduce the capacitance, the material can be low dielectric constant. , Esquioxane, HSQ). Hydrogen silsesquioxane such as Hydrogen Si 1S (Hydrogen Si 1S) has a dielectric constant of approximately 2.8 to 3.0 instead of stone oxide

第10頁 五、發明說明(8) 層可藉由應用旋覆(Spin on)製程成形且可在其上覆蓋一 薄氡化矽層。氮化矽層是作為終止氡化矽乾式蝕刻製程的 停止層’然而氮化矽具有高的介電常數約8,因此本發明 之一目的是要使得氮化矽的厚度盡可能的少但又不失去其 作為姓刻停止層的功能。本發明之特徵在於使用多重氮^ 矽停止層’策略性地置於氧化矽絕緣層間,容許雙嵌入開 =可以成功的在絕緣層内形成,而不至使複合絕緣層的^ 各有明顯的增加。 第2圖係顯示在複合絕緣層内形成初始的窄開口 1 剖面示意圖。光阻9是作為蝕刻罩幕,使得一第一次非 ,性反應離子触刻使用CHF3作為餘刻劑能在絕緣層8内定 ,出初始的窄開口10a。以CHF3作為蝕刻劑對於氧化石夕層 一虱化矽層的被蝕刻速率的比率為2 曰 7/出後反應料制所㈣的㈣錢Λ Λ化人層Page 10 V. Description of the invention (8) The layer can be formed by applying a spin on process and can be covered with a thin siliconized layer. The silicon nitride layer is used as a stop layer for terminating the silicon nitride dry etching process. However, silicon nitride has a high dielectric constant of about 8, so one object of the present invention is to make the thickness of the silicon nitride as small as possible but Don't lose its function as a engraved stop layer. The present invention is characterized in that a multiple nitrogen ^ silicon stop layer is strategically placed between the silicon oxide insulating layers, allowing double-embedded opening = can be successfully formed in the insulating layer, without making each of the composite insulating layers obvious. increase. Figure 2 is a schematic cross-sectional view showing the formation of the initial narrow opening 1 in the composite insulating layer. The photoresist 9 is used as an etching mask, so that for the first time, the reactive ion engraving can use CHF3 as a post-etching agent to be fixed in the insulating layer 8 to form an initial narrow opening 10a. Using CHF3 as an etchant for the oxidized stone layer, the rate of the etch rate of the silicon oxide layer is 2/7 / the amount of money saved by the post-reaction material system

二Γ二氮化_於氧化…被㈣速V 除氮化石夕層7而不至於侵钮到 移 CHF3作為蝕刻劑非等向性反 Β的菫要邠为。再以 域’而後亦以氟基化合物,如CF :广緣層6露出的區 ㈣薄氮切層5。對於薄氮化選擇性的 間的選擇性的蝕刻率使得_象# /a5,、其下的氧化矽層4 層4出現時。初始的窄開口止於氧化石夕 米,顯示在苐2圖。 '、位 '力為〇.至0. 22微 五、發明說明(9) ~ --- 在以氧電聚灰化及濕式清潔除去光阻9之後,帶有直 徑約為0 · 2 8至0. 3 8微米之寬開口 1 2 a的光阻丨1成形在氧化 矽層8之上表面,該上表面露出初始的窄開口丨與部分的 氧化矽層8,這是顯示於第3圖。第二次非等向性反應離子 银刻使用啊作為㈣劑能在絕緣層8内形成寬開口咖, 氧化梦層8對於氮化石夕層7的被蝕刻速率的比率為2出丨,所 以容許過度的蝕刻以確保能完全移除氧化矽層8而不至於 2 IU匕石夕停止層7 .由於選擇性的钱刻率使得寬開口咖 =依所預定的結果只存在於氧化矽層8,這是顯示於第4 8形第二次非等向性反應離子^以氧化石夕層 8心成寬開口⑽時’亦會導致暴露在原先氮化石夕層?内的 開口圖案下的氧化石夕層4亦被蝕刻,而形成位於 之最終的窄開口10b。最終的窄開口⑽其直 仅亦約為0.18至〇,22微求,此可由第4圖所看出。 二層導致暴露在氧化”8下的部分氮化;夕層 ^被餘刻,此亦顯示於第4圖。 -的等向性反應離子㈣是施行於移除暴露在最 、,、的窄開口 1 〇b之下的氮化矽層3區域,此可 化合物,如CF4、CH2F2或CH3F為蝕刻劑以選二 ς 石夕層3所露出的部分,此步驟亦會移 擇^的钱刻乱化 ^ “矽層7,這是顯示於第5圖e再 式清潔除去光阻丨丨β #以礼電紫灰化及濕 層中化:層及多重薄的氮切層所組成的複合絕緣 …开/成之雙嵌入開…雙成入開口包括寬開口 mThe two Γ dinitrides are oxidized ... The rate of removal of the nitride nitride layer 7 by V will not cause the button to move to CHF3 as the etchant anisotropic reaction. Then, the domain 'and then the fluorine-based compound, such as the CF: wide-rimmed layer 6, are exposed to the thin nitrogen-cut layer 5. The selective etching rate for the thin nitride selectivity is such that when the silicon oxide layer 4 and the layer 4 appear below it. The initial narrow opening ends at oxidized stone, as shown in Figure 2. The ', bit' force is from 0.2 to 0.22. V. Description of the invention (9) ~ --- After removing the photoresist 9 with oxygen electricity polyashing and wet cleaning, the diameter is about 0 · 2 8 A photoresist to a wide opening 1 2 a of 0.3 μm is formed on the surface of the silicon oxide layer 8, and the upper surface exposes the initial narrow opening and a part of the silicon oxide layer 8, which is shown in the third Illustration. The second anisotropic reactive ion silver engraving is used as a tincture to form a wide opening in the insulating layer 8. The ratio of the etched rate of the oxide dream layer 8 to the nitrided layer 7 is 2 out, so it is allowed Excessive etching to ensure that the silicon oxide layer 8 can be completely removed without the 2 IU dagger stop layer 7. Due to the selective money engraving rate, the wide opening coffee = only exists in the silicon oxide layer 8 according to the predetermined result, This is the second anisotropic reaction ion shown in the 4th and 8th shapes. When the 8th core of the oxidized stone layer is wide-opened, it will also cause exposure to the original nitrided layer? The oxide stone layer 4 under the opening pattern inside is also etched to form the final narrow opening 10b located there. The final narrow opening is only about 0.18 to 0.22 micron, which can be seen in Figure 4. The second layer leads to partial nitridation exposed to the oxidation "8; the layer is left for a while, this is also shown in Figure 4.-The isotropic reactive ion ㈣ is applied to remove the narrow, The silicon nitride layer 3 area under the opening 10b. This compound, such as CF4, CH2F2, or CH3F, is used as an etchant to select the exposed part of the stone layer 3. This step will also select ^ Messy ^ "Silicon layer 7, which is shown in Figure 5 e again to remove the photoresist cleansing 丨 丨 β #Electrical purple ashing and wet layer neutralization: a composite of layers and multiple thin nitrogen cut layers Insulation ... open / into double insertion open ... double into opening including wide opening m

麵 五、發明說明(10) 及其下的最終窄開口 1 0 b以容納其後的雙嵌入金屬結構目 前已製備完成。以化學氣相沉積或R. F .濺鍍製程成形一 厚度約10000至15000A的銅金屬層以完全填滿包括寬開口 12b及其下的最終窄開口10b之雙嵌入開口。必要時,須在 沉積銅金屬層之前先在雙嵌入開口之内側壁塗覆钽或氮化 钽以作為障壁層。藉由化學機械研磨法除去在氧化矽層8 之上的多餘銅及障壁層後即形成雙嵌入金屬結構13,二 6圖所示。本發明之雙嵌入銅金屬結構丨3由於使用較薄 化矽層作為停止層所以能更有效的降低RC delays。 4 雖然本發明已以較佳實施例揭露如上’然其並 限定本發明’任何熟習此技藝者,I不脫離本發明 和範圍内,當可作些許之更動與潤飾,因此本發明之= 範圍當視後附之申請專利範圍所界定者為準 邊Fifth, the description of the invention (10) and the final narrow opening 10 b below it to accommodate the subsequent double-embedded metal structure have now been prepared. A copper metal layer having a thickness of about 10,000 to 15,000 A is formed by a chemical vapor deposition or R. F. sputtering process to completely fill the double embedded openings including the wide opening 12b and the final narrow opening 10b below it. If necessary, tantalum or tantalum nitride should be coated on the inner side wall of the double embedded opening as a barrier layer before the copper metal layer is deposited. After the excess copper and the barrier layer on the silicon oxide layer 8 are removed by chemical mechanical polishing, a double-embedded metal structure 13 is formed, as shown in FIG. The double-embedded copper metal structure of the present invention can reduce RC delays more effectively because a thinner silicon layer is used as the stop layer. 4 Although the present invention has been disclosed in the preferred embodiment as above, and then the present invention is limited to anyone skilled in the art, I will not depart from the scope of the present invention, but can make some modifications and retouches. Therefore, the scope of the present invention = scope When considering the scope of the attached patent application as the standard

Claims (1)

457635 六、申請專利範圍 1. 一種在一半導體基底上形成一雙嵌入開口以成形一 雙截入金屬結構之製造方法,其中,該雙嵌入開口是在一 複合的絕緣層中形成’包括下列步驟: 成形一襯底金屬介面連接結構; 沉積一障壁層; 在該P皁壁層之上沉積該複合的絕緣層,該複合的絕緣 層是由一襯底的第一絕緣層、一第一氮化矽層、一第二絕 緣層、一第t氮化矽層及一第三絕緣層所組成; 使用一第一次光阻作為罩幕以在該第三絕緣層、該第 一氮化石夕詹、該第二絕緣層及該第一氮化石夕層等之内形成 一初始的窄開口; 使用一第二次光阻作為罩幕以在該第三絕緣層之内形 成寬開口,且以該第二氮化矽層内的初始窄開口作為罩幕 以除去該第一絕緣層而形成該雙嵌入開口之一最終的窄開 口’該最終的窄開口是包括在該第二氮化石夕層、該第二絕 緣層、該第一氮化矽層及該第一絕緣層等之内; 除去該障壁層露出於該最終的窄開口底部的區域藉以 使該襯底金屬介面連接結構之部分上表面暴露出來,亦會 除去暴露在該寬開口之下的該第二氮化矽層區域;及 於該複合的絕緣層中的該雙嵌入開口内成形該雙私 金屬結構,使該雙嵌入金屬結構接觸到位於該雙嵌人^ Λ 底部之該襯底金屬介面連接結構之上表面。 2. 如申請專利範圍第1項所述之方法’其中,該障辟 層是以低壓化學氣相沉積或電漿促進化學氣相沉積成开457635 VI. Scope of patent application 1. A manufacturing method of forming a pair of embedded openings on a semiconductor substrate to form a pair of intercepted metal structures, wherein the double embedded openings are formed in a composite insulating layer 'including the following steps : Forming a substrate metal interface connection structure; depositing a barrier layer; depositing the composite insulating layer on the P soap wall layer, the composite insulating layer is a first insulating layer of a substrate, a first nitrogen Composed of a silicon layer, a second insulating layer, a t-th silicon nitride layer, and a third insulating layer; a first photoresist is used as a mask to place the third insulating layer, the first nitride stone An initial narrow opening is formed in Zhan, the second insulating layer, the first nitride stone layer, and the like; a second photoresist is used as a mask to form a wide opening in the third insulating layer, and The initial narrow opening in the second silicon nitride layer is used as a mask to remove the first insulating layer to form one of the final embedded openings. The final narrow opening is included in the second nitride layer , The second insulation layer, Within the first silicon nitride layer and the first insulating layer, etc .; removing the area of the barrier layer exposed at the bottom of the final narrow opening to expose the upper surface of a portion of the substrate metal interface connection structure will also remove the exposure The second silicon nitride layer region under the wide opening; and forming the double private metal structure in the double embedded opening in the composite insulating layer, so that the double embedded metal structure contacts the double embedded person ^ Λ the upper surface of the substrate metal interface connection structure at the bottom. 2. The method according to item 1 of the scope of the patent application, wherein the barrier layer is formed by low-pressure chemical vapor deposition or plasma-promoted chemical vapor deposition. 457635 六、 申請專利範圍 厚度約300至1000A之氮化砂層。 3. 如申請專利範圍第1項所述之方法,其中,=* 絕緣層是以低壓化學氣相沉積或電漿促進化學#胃〃第.、 形一厚度約5000至6 00 0 A之氧化破層。 乳目沉積成 4. 如申請專利範圍第1項所述之方法,其中,a 氮化矽層是以低壓化學氣相沉積或電漿促進化風 成形一厚度約1 2 5至1 7 5 A之薄膜。 子相 >儿積 5. 如申請專利範圍第1項所述之方法,其中,, 絕緣層是以低壓化學氣相沉積或電聚促進 μ 一 形一厚度約3000至4 0 0 0 Α之氧化硬層。b&相沉積成 6 _如申請專利範圍第1項所述之方法,其中,該 氮化矽層是以低壓化學氣相沉積或電漿促 Λ 成形一厚度約800至90〇人之薄模。 連化卞乳相沉積 7·如申請專利範圍第1項所述之方法,其甲,該 絕緣層是以低壓化學氣相沉積或電漿促進化^一 形一厚度約5000至6000 Α之氧化矽層。 ’、z儿積成, 8.如申請專利範圍第1項所述之方法,其中,該 口是以非等向性反應離子蝕刻使用。吼作為蝕:;丨 緣層及該第二絕緣層内形成,以CHF “乍為㈣ 輿^ ^ ί二絕緣層與該第二絕緣層對於該第二氮化石夕層 妈茲第—虱化矽層的被蝕刻速率的比率為2比1 ,而 2 :’如cf4、ch2f2或CH3F作為蝕刻劑以選擇性的蝕; 第一氮化石夕層’以氟基化合物作為蝕刻劑 W第一氮化矽層與該第一氮化矽層對於該第三絕緣層457635 6. Scope of patent application Nitrided sand layer with a thickness of about 300 to 1000A. 3. The method as described in item 1 of the scope of the patent application, wherein = * the insulating layer is a low-pressure chemical vapor deposition or plasma-promoted chemical # stomach 〃., An oxidation with a thickness of about 5000 to 600 0 A Broken layer. The milk order is deposited 4. The method as described in item 1 of the scope of patent application, wherein a silicon nitride layer is formed by low-pressure chemical vapor deposition or plasma-promoted chemical wind forming a thickness of about 1 2 5 to 1 7 5 A The film. Subphase > Child product 5. The method according to item 1 of the scope of patent application, wherein the insulating layer is promoted by low-pressure chemical vapor deposition or electropolymerization with a thickness of about 3000 to 4 0 0 Α Oxidized hard layer. b & phase deposition into 6 _ The method as described in item 1 of the scope of patent application, wherein the silicon nitride layer is formed by a low-pressure chemical vapor deposition or plasma-assisted Λ to form a thin mold having a thickness of about 800 to 900 people. . Lianhua 卞 Emulsion Phase Deposition 7. The method described in item 1 of the scope of patent application, wherein the insulating layer is formed by low-pressure chemical vapor deposition or plasma-assisted chemical oxidation, with a thickness of about 5000 to 6000 A. Silicon layer. ′, Zer accumulation, 8. The method according to item 1 of the scope of patent application, wherein the port is used for anisotropic reactive ion etching. How to act as an etch :; 丨 the edge layer and the second insulating layer are formed, and the second insulating layer and the second insulating layer for the second nitride stone layer are formed by CHF "Zhuwei" ^ ^ The silicon layer is etched at a ratio of 2 to 1, and 2: 'such as cf4, ch2f2 or CH3F as an etchant for selective etching; the first nitride stone layer' uses a fluorine-based compound as an etchant. A siliconized layer and the first silicon nitride layer for the third insulating layer 第15頁 457635 六、申請專利範圍 與6亥第二絕緣層的被银刻速率的比率為8比1。 & 9.如申請專利範圍第1項所述之方法,其中,該初始 的窄開口其直徑約為〇. 18至〇· 22微米。 入 ° 3 1 如申請專利範圍第1項所述之方法,其中,該寬開 :是以非等向性反應離子蝕刻使用ML作為蝕刻劑在^第^ 三絕緣層内形成,以CHF3作為蝕刻劑使得該第三絕緣^對 於忒第二氮化矽層的被蝕刻速率的比率為2比1。 11. 如申請專利範圍第1項所述之方法,其中,哕 口其直徑約為0. 28至0. 32微米。 "· 4 12, 如申請專利範圍第丨項所述之方法,其中, 該Ϊ ^露出於該最終”開口底部的區域以及除去暴露: 口之下的該第二氮化矽層區域,是以非等向性反應 m 2刻使用氟基化合物,如cf4、ch2f2或CH3F作為蝕刻劑 t擇性的蝕刻欲去除的部分,以氟基化合物作為蝕刻劑 ,得該障壁層與該第二氮化矽層對於ς第三絕緣層的被蝕 刻速率的比率為8比1。 、〜 山13.如申清專利範圍第丨項所述 其中於該雙 欣入1: 口一内所成形之該雙嵌入金屬結構是為銅。 一錐一種在—複合的絕緣層中的一雙嵌入開口内成形 石夕層構之方法,其中,該複合的絕緣層是由氧化 氮化矽蝕刻停止層所组成,包括下列步驟: /概底金屬介面連接結構· :冗精一ί —鼠化矽層覆蓋該襯底金屬介面連接結構; ,積第—氧化矽層覆蓋該第—氮化矽層;Page 15 457635 VI. The scope of the patent application The ratio of the rate of silver engraving to the second insulation layer of Haihai is 8 to 1. & 9. The method according to item 1 of the scope of patent application, wherein the diameter of the initial narrow opening is about 0.18 to 0.22 microns. In ° 3 1 The method as described in item 1 of the scope of patent application, wherein the wide opening is formed in the third insulating layer by using anisotropic reactive ion etching using ML as an etchant and using CHF3 as an etching The ratio of the third insulating layer to the etching rate of the second silicon nitride layer is 2 to 1. 11. The method as described in item 1 of the scope of patent application, wherein the mouth has a diameter of about 0.28 to 0.32 microns. " · 4, 12. The method as described in item 丨 of the patent application scope, wherein the region exposed at the bottom of the final opening and removing the exposed: the region of the second silicon nitride layer below the port is Anisotropic reaction m 2 using a fluorine-based compound, such as cf4, ch2f2 or CH3F, as an etchant. Selective etching is performed on the portion to be removed, and a fluorine-based compound is used as an etchant to obtain the barrier layer and the second nitrogen. The ratio of the etched rate of the siliconized layer to the third insulating layer is 8 to 1. 13. As described in item 1 of the scope of the patent application, which is formed in the Shuangxin entrance 1: the opening A double-embedded metal structure is copper. A cone is a method of forming a stone layer structure in a double-inserted opening in a composite insulation layer, wherein the composite insulation layer is composed of an etch stop layer of silicon oxide nitride The method includes the following steps: / Basic metal interface connection structure: Redundant-a mouse silicon layer covers the substrate metal interface connection structure; a first silicon oxide layer covers the first silicon nitride layer; ΗΗΙ I 酬 第16頁 六、申請專利範圍 /儿積第二氮化矽層層覆罢 沉積—第二氧切層覆蓋;第第:氧切層; 沉積—第三氮化矽層 =第—虱化矽層,· 儿積第三氧化矽層覆#$氣化矽層,‘ ,氮化矽廣、該第二氧化矽:n”三氧化矽、該聋 等向性形成初始的窄開口 ; x 一氮化矽層等之内非 非等向性形成-in;在:第三氧切層之内 幕以在該第三氮化㈣:ir乂:的初始窄 產生該雙嵌八開口; 成一致終的窄開口而 非等向性除去該第一氮化矽層露 底部的區4,亦會非等向性除去暴露在;:亥:終的窄開口 第三氮化矽層區域; μ見開口之下的該 沉積一鋼層;及 ^去在該第三氧化矽層上表面之上的該銅層部分, 銅層疋成形於該複合的絕緣層中的該雙嵌 卩人八開口内之該替 嵌入銅結構,而使得該雙嵌入銅結構由包括一位於談寬尸 口之内的一銅介面連接結構及位於該最终的窄開口之$開 銅導孔結構所組成。 、 1 5 ·如申請專利範圍第1 4項所述之方法,其中,該第 一氮化石夕層是以低壓化學氣相沉積或電漿促進化學氣相、、冗 積成形一厚度約300至1 000 Α之氮化石夕層。 ' d 57 S SB _:___________ 六、申請專利範圍 1 6.如申請專利範圍第1 4項所述之方法’其中’該第 一氧化矽層是以低壓化學氣相沉積或電敷促進化學氣相沉 積成形一厚度約5000至6000 A之氧化石夕層。 1Γ7.如申請專利範圍第14項所述之方法’其中,該第 一氮化石夕層是以低壓化學氣相沉積或電榮·促進化學氣相沉 積成形一厚度約125至175Λ之薄膜。 1 8 ·如申請專利範圍第1 4項所述之方法’其中,該第 二氧化矽是以低壓化學氣相沉積或電漿促進化學氣相沉積 成形—厚度約3000至4000 Α之氧化矽層。 1 9.如申請專利範圍第〗4項所述之方法’其中,該第 二氮化矽層是以低壓化學氣相沉積或電漿促進化學氣相沉 積成形一厚度約8〇〇至9〇〇Α之薄膜。 _故20.如申請專利範圍第14項所述之方法,其中,該第 二氧化發是以低壓化學氣相沉積或電漿促進化學氣相沉積 成形—厚度約5000至6〇〇〇Α之氧化矽層。 21·如申請專利範圍第14項所述之方法,其中,該初‘ :的窄開口及該最終的窄開口其直徑約為0. 18至〇. 28微 米 。 22.如申請專利範圍第1 4項所述之方法,其中,該初 pa — 劑在^開=疋以非等向性反應離子蝕刻使用CHFS作為蝕刻 化合ί第三氧化石夕層及該第二氧化梦層内形成’及以氟基 二务如^4 ' Cth或CHJ作為餘刻劑以選擇性的蝕刻第 砂層及該第二氮化矽層内形成。 2 3.如申請專利範圍第1 4項所述之方法,其中,以ΗΗΙ 酬 第 16 页 6. Application scope of patents / child product The second silicon nitride layer is deposited on the second layer—the second oxygen cut layer cover; the first: the oxygen cut layer; the deposition—the third silicon nitride layer = the first— Lice silicon layer, the third silicon oxide layer is covered with a gaseous silicon layer, the silicon nitride is wide, the second silicon oxide: n "silicon trioxide, the deaf isotropic forms the initial narrow opening ; X non-isotropic formation within a silicon nitride layer, etc. -in; inside the third oxygen cut layer to produce the double-embedded eight openings at the initial narrowness of the third nitride: ir㈣: A uniform narrow opening instead of isotropically removing the region 4 exposed at the bottom of the first silicon nitride layer will also anisotropically remove the exposed area ;: Hai: the final narrow opening of the third silicon nitride layer region; See the deposited steel layer under the opening; and ^ remove the copper layer part above the upper surface of the third silicon oxide layer, the copper layer is formed in the double-embedded layer in the composite insulating layer The replacement embedded copper structure in the opening, so that the double embedded copper structure is composed of a copper interface connection structure and a bit located inside Tankuan's mouth. The final narrow-opened copper open-via structure is composed of the method described in item 14 of the patent application scope, wherein the first nitride layer is formed by low-pressure chemical vapor deposition or electrical The slurry promotes chemical vapor phase, and redundantly forms a nitrided layer with a thickness of about 300 to 1 000 A. 'd 57 S SB _: ___________ VI. Scope of patent application 1 6. As described in item 14 of the scope of patent application Method 'wherein' the first silicon oxide layer is formed by a low pressure chemical vapor deposition or electro-chemical deposition to form a oxidized stone layer with a thickness of about 5000 to 6000 A. 1Γ7. The method described above, wherein the first nitride layer is formed by a low-pressure chemical vapor deposition or electro-promoted chemical vapor deposition to form a thin film having a thickness of about 125 to 175 Λ. The method described above, wherein the second silicon oxide is a low-pressure chemical vapor deposition or plasma-assisted chemical vapor deposition forming—a silicon oxide layer having a thickness of about 3000 to 4000 A. 1 9. As described in the scope of patent application 4 The method according to the above item, wherein the second nitrogen The silicon layer is formed by a low-pressure chemical vapor deposition or a plasma-assisted chemical vapor deposition to form a thin film having a thickness of about 800 to 900 A. Therefore, 20. The method according to item 14 of the scope of patent application, wherein, The second oxidation is a low-pressure chemical vapor deposition or plasma-assisted chemical vapor deposition forming—a silicon oxide layer having a thickness of about 5000 to 6000 A. 21. The method according to item 14 of the scope of patent application, Wherein, the diameter of the initial narrow opening and the final narrow opening are about 0.18 to 0.28 micrometers. 22. The method according to item 14 of the scope of patent application, wherein the initial pa-agent In ^ Kai = 疋 using anisotropic reactive ion etching using CHFS as an etching compound, the third oxide layer and the second oxide layer are formed, and fluorine-based tasks such as ^ 4 'Cth or CHJ as the rest The etchant is formed by selectively etching the first sand layer and the second silicon nitride layer. 2 3. The method according to item 14 of the scope of patent application, wherein 第18頁 4 5 7 6 3Page 18 4 5 7 6 3 氧化矽對於氮化矽的被蝕刻速率的比 六、申請專利範圍 CHF3作為蝕刻劑使得 率為2比1。 24.如申請專利範圍第丨4項所述之方法,其中,以氣 f化合物,如CF4、CI^F2或CHSF作為蝕刻劑使得氮化矽對於 氣化矽的被蝕刻速率的比率為8比1。 、 2 5.如申請專利範圍第1 4項所述之方法,其中,該寬 開口是以非等向性反應離子蝕刻使用CHF3作為蝕刻劑在該 第三氧化矽層内形成,以CHF3作為蝕刻劑使得氧化矽對於 氮化矽的被蝕刻速率的比率為2比1。 2 6.如申請專利範圍第丨4項所述之方法’其中,在該 第三氧化矽層内之該寬開口其直徑約為〇. 28至0. 38微米。 27.如申請專利範圍第η項所述之方法’其中,除去 該第一氮化矽層露出於該最終的窄開口底部的區域以及除 去暴露在該寬開口之下的該第三氣化石夕層區域’是以非等 向性反應離子蝕刻使用氟基化合物’如CF4、CH2F2或CH3F作 為蝕刻劑以選擇性的蝕刻欲去除的部分’以氟基化合物作-為蝕刻劑使得氮化矽對於氧化矽的被蝕刻速率的比率為8 比1 〇The ratio of silicon oxide to silicon nitride etched rate 6. Scope of patent application CHF3 as an etchant makes the ratio of 2 to 1. 24. The method as described in item 4 of the scope of the patent application, wherein the gas f compound, such as CF4, CI ^ F2, or CHSF, is used as an etchant so that the ratio of the etching rate of silicon nitride to siliconized gas is 8 ratios. 1. 2. The method as described in item 14 of the scope of patent application, wherein the wide opening is formed in the third silicon oxide layer by using anisotropic reactive ion etching using CHF3 as an etchant, and using CHF3 as an etching The agent is such that the ratio of the etched rate of silicon oxide to silicon nitride is 2 to 1. 2 6. The method according to item 丨 4 of the scope of patent application, wherein the diameter of the wide opening in the third silicon oxide layer is about 0.28 to 0.38 microns. 27. The method according to item η of the scope of patent application, wherein the area where the first silicon nitride layer is exposed at the bottom of the final narrow opening and the third gasified stone exposed under the wide opening are removed. The layer region 'is anisotropic reactive ion etching using a fluorine-based compound' such as CF4, CH2F2 or CH3F as an etchant to selectively etch the portion to be removed 'using a fluorine-based compound as an etchant to make silicon nitride The ratio of the etched rate of silicon oxide is 8 to 1. 第19頁Page 19
TW89107781A 2000-04-21 2000-04-21 Manufacturing process of copper structure TW457635B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW89107781A TW457635B (en) 2000-04-21 2000-04-21 Manufacturing process of copper structure
JP2000307010A JP2001313336A (en) 2000-04-21 2000-10-06 Manufacturing method of copper structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89107781A TW457635B (en) 2000-04-21 2000-04-21 Manufacturing process of copper structure

Publications (1)

Publication Number Publication Date
TW457635B true TW457635B (en) 2001-10-01

Family

ID=21659513

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89107781A TW457635B (en) 2000-04-21 2000-04-21 Manufacturing process of copper structure

Country Status (2)

Country Link
JP (1) JP2001313336A (en)
TW (1) TW457635B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153894A (en) * 2010-02-19 2010-07-08 Tokyo Electron Ltd Method of manufacturing semiconductor device
KR101872532B1 (en) 2012-12-28 2018-06-28 삼성전기주식회사 Circuit board and method for manufacturing the same
JP6982976B2 (en) 2017-04-19 2021-12-17 キヤノン株式会社 Manufacturing method of semiconductor device and semiconductor device
CN111099554A (en) * 2019-11-29 2020-05-05 杭州臻镭微波技术有限公司 Manufacturing method of TSV (through silicon Via) ground interconnection hole structure under silicon cavity in micro-system module

Also Published As

Publication number Publication date
JP2001313336A (en) 2001-11-09

Similar Documents

Publication Publication Date Title
US6083822A (en) Fabrication process for copper structures
TW518683B (en) Semiconductor device and method of manufacturing the same
US7939446B1 (en) Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
TW389991B (en) Method for producing copper interconnect
US20110163446A1 (en) Method to generate airgaps with a template first scheme and a self aligned blockout mask and structure
TW200415747A (en) Air gap dual damascene process and structure
JP4256347B2 (en) Manufacturing method of semiconductor device
JPH11168105A (en) Manufacture of semiconductor integrated circuit
TWI239553B (en) Method for manufacturing semiconductor device
TWI288430B (en) Structure with via hole and trench and the fabrication method thereof
TW486755B (en) Semiconductor device and manufacturing method of the device
JP3214475B2 (en) Method of forming dual damascene wiring
TW457635B (en) Manufacturing process of copper structure
JP2004200693A (en) Method to form double etching structure
JP3781729B2 (en) Manufacturing method of semiconductor device
TW444256B (en) Process for fabricating semiconductor device having reliable conductive layer and interlayer insulating layer
JP2004282082A (en) Metal burying method
TWI239565B (en) Method for forming openings in insulation layer and stacked insulation layer above conductive region and semiconductor substrate
CN102034733A (en) Interconnecting structure and forming method thereof
TW447021B (en) Method for preventing photoresist residue in a dual damascene process
TWI254986B (en) Method for fabricating a dual damascene and polymer removal
JP3683570B2 (en) Manufacturing method of semiconductor device
TW580756B (en) Dual damascene process
KR100987871B1 (en) Method of forming metal line of semiconductor devices
TW444348B (en) Improved dual damascene process

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees