KR100692471B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR100692471B1
KR100692471B1 KR1020050081868A KR20050081868A KR100692471B1 KR 100692471 B1 KR100692471 B1 KR 100692471B1 KR 1020050081868 A KR1020050081868 A KR 1020050081868A KR 20050081868 A KR20050081868 A KR 20050081868A KR 100692471 B1 KR100692471 B1 KR 100692471B1
Authority
KR
South Korea
Prior art keywords
mask
via hole
etching
film
insulating film
Prior art date
Application number
KR1020050081868A
Other languages
English (en)
Other versions
KR20060124531A (ko
Inventor
요시히사 이바
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Publication of KR20060124531A publication Critical patent/KR20060124531A/ko
Application granted granted Critical
Publication of KR100692471B1 publication Critical patent/KR100692471B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 듀얼다마신법에 의해 배선층을 형성하는 경우에, 미세한 비어홀 및 배선 트렌치에의 배선재(配線材)의 매립을 용이하게 할 수 있는 반도체 장치 및 그 제조 방법을 제공한다.
비어홀 형성 영역이외의 영역을 덮는 마스크(20)와, 배선 트렌치 형성 영역이외의 영역을 덮는 마스크(22)를 마스크로 하여 절연막(16, 18)에 비어홀(26) 및 배선 트렌치(32)를 형성하는 경우에, 비어홀 형성 영역의 주변부에 절연막(18)의 상면이 노출하여 주변부를 제거하는 배선 트렌치 형성 영역 내의 마스크(20)가 잔존하도록 마스크(20)를 등방성 에칭한 후, 마스크(20) 및 절연막(18, 16)을 이방성 에칭함으로써, 상부에 광폭부(廣幅部; 34)를 갖는 비어홀(26)과, 비어홀(26)의 광폭부(26)에 접속된 배선 트렌치(32)를 형성한다.
기판, 층간 절연막, 배선층, 유기 절연막, 하드 마스크, 비어홀, 수지층, 배리어 메탈, 광폭부분

Description

반도체 장치의 제조 방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}
도 1은 본 발명의 제 1 실시예에 의한 반도체 장치의 구조를 나타내는 개략 단면도.
도 2는 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 1 공정 단면도.
도 3은 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 2 공정 단면도.
도 4는 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 3 공정 단면도.
도 5는 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 4 공정 단면도.
도 6은 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 5 공정 단면도.
도 7은 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 6 공정 단면도.
도 8은 본 발명의 제 1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 7 공정 단면도.
도 9는 본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 1 공정 단면도.
도 10은 본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 2 공정 단면도.
도 11은 본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 3 공정 단면도.
도 12는 본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 4 공정 단면도.
도 13은 본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 5 공정 단면도.
도 14는 본 발명의 제 3 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 1 공정 단면도.
도 15는 본 발명의 제 3 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 2 공정 단면도.
도 16은 본 발명의 제 3 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 3 공정 단면도.
도 17은 본 발명의 제 4 실시예에 의한 반도체 장치의 구조를 나타내는 개략 단면도.
도 18은 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 1 공정 단면도.
도 19는 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 2 공정 단면도.
도 20은 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 3 공정 단면도.
도 21은 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 4 공정 단면도.
도 22는 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 5 공정 단면도.
도 23은 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 6 공정 단면도.
도 24는 본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법을 나타내는 제 7 공정 단면도.
도면의 주요 부분에 대한 부호의 설명
10 기판 12, 18 층간 절연막
14, 40 배선층 16 스토퍼층
18a SiOCH막 18b 포러스실리카막
18c 유기 절연막 20 제 1 하드 마스크
22 제 2 하드 마스크 24, 30 ArF 포토레지스트막
26 비어홀 28 수지막
32 배선 트렌치 34 광폭(廣幅)부분
36 배리어 메탈 38 Cu막
42 제 3 하드 마스크
본 발명은 반도체 장치의 제조 방법에 관한 것으로, 특히, 층간 절연막에 매립된 배선층을 듀얼다마신법에 의해 형성하는 반도체 장치 및 그 제조 방법에 관한 것이다.
반도체 장치의 대규모 고집적에 따라, 배선의 설계 룰도 세대와 함께 축소화되고 있다. 종래, 배선층은 배선 재료를 퇴적한 후, 리소그래피 및 드라이 에칭을 이용하여 패터닝함으로써 형성되어 왔지만, 세대가 진행됨에 따라서 기술적인 한계가 생기기 시작하고 있다. 이 때문에, 종래의 배선층의 형성 프로세스를 대신하는 새로운 형성 프로세스로서, 층간 절연막에 홈 패턴이나 홀(hole) 패턴을 형성한 후, 이 홈이나 홀에 배선 재료를 매립하는, 소위 다마신 프로세스로 불리는 방법이 이용되고 있다. 다마신 프로세스는 반응성 에칭이 곤란한 동(銅) 등의 저저항 재료를 이용하여 배선층을 형성하는 것도 용이하여, 미세 패턴을 갖는 저저항의 배선층을 형성하고 나서 매우 유효하다.
다마신 프로세스에는, 비어홀부와 배선 트렌치부를 별도로 매립하는 싱글다마신법과, 비어홀부와 배선 트렌치부를 동시에 매립하는 듀얼다마신법이 있다. 이 들 중, 듀얼다마신법은 비어홀부 및 배선 트렌치부의 매립을 1회의 프로세스에 의해 행하기 때문에, 싱글다마신법과 비교해서 제조 방법을 간략하게 할 수 있다는 이점이 있다.
듀얼다마신법을 이용한 배선층의 형성 방법에 대해서는, 예를 들면 특허문헌 1 및 특허문헌 2에 기재되어 있다.
[특허문헌 1] 일본국 공개특허공보 특개2002-043419호 공보
[특허문헌 2] 일본국 공개특허공보 특개2003-197738호 공보
[특허문헌 3] 일본국 공개특허공보 특개평05-218209호 공보
그러나, 소자의 미세화가 진행되고, 비어홀 및 배선 트렌치의 사이즈가 작아짐에 따라, 특히 비어홀 내에의 배리어 메탈의 퇴적이나 동막(銅膜)의 도금 성막이 곤란해진다. 이 결과, 배선층 내에 보이드 등의 매립 불량이 발생하여, 배선 신뢰성이 저하되는 경우가 있었다.
본 발명의 목적은 듀얼다마신법에 의해 배선층을 형성하는 경우에, 미세한 비어홀 및 배선 트렌치에의 배선재(配線材)의 매립을 용이하게 할 수 있는 반도체 장치 및 그 제조 방법을 제공하는 것에 있다.
본 발명의 일 관점에 의하면, 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크를 순차 형성하는 공정과, 비어홀 형성 영역의 상기 제 1 마스크 및 상기 제 2 마스크를 제거하는 공정과, 상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과, 상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 2 마스크를 제거하는 공정과, 상기 비어홀 형성 영역의 주변부에 상기 절연막의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 1 마스크가 잔존하도록, 상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크를 등방성 에칭하는 공정과, 상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에, 상부에 광폭부(廣幅部)를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과, 상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
또한, 본 발명의 다른 관점에 의하면, 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크와, 상기 제 2 마스크와는 에칭 특성이 다른 제 3 마스크를 순차 형성하는 공정과, 비어홀 형성 영역의 상기 제 1 마스크, 상기 제 2 마스크 및 상기 제 3 마스크를 제거하는 공정과, 상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과, 상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 3 마스크를 제거하는 공정과, 상기 비어홀 형성 영역의 주변부에 상기 제 1 마스크의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 2 마스크가 잔존하도록, 상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크를 등방성 에칭하는 공정과, 상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크, 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에, 상부에 광폭부를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과, 상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법이 제공된다.
또한, 본 발명의 또 다른 관점에 의하면, 기판 상에 형성되어, 상기 기판에 달하는 비어홀과, 상기 비어홀의 상부에 연속해서 설치된 배선 트렌치가 형성된 절연막과, 상기 절연막의 상기 비어홀 및 상기 배선 트렌치에 매립되어 일체 형성된 배선층을 갖는 반도체 장치로서, 상기 비어홀은 상기 배선 트렌치측의 단부에 상기 기판측의 개구 직경보다도 넓은 광폭부를 갖는 것을 특징으로 하는 반도체 장치가 제공된다.
[제 1 실시예]
본 발명의 제 1 실시예에 의한 반도체 장치 및 그 제조 방법에 대해서 도 1 내지 도 8을 이용하여 설명한다. 도 1은 본 실시예에 의한 반도체 장치의 구조를 나타내는 개략 단면도, 도 2 내지 도 8은 본 실시예에 의한 반도체 장치의 제조 방법을 나타내는 공정 단면도이다.
먼저, 본 실시예에 의한 반도체 장치의 구조에 대해서 도 1을 이용하여 설명한다.
층간 절연막(12)에 매립된 배선층(14)을 갖는 기판(10) 상에는, 스토퍼층(16)과, SiOCH막(18a)과 포러스실리카막(18b)의 적층막으로 이루어지는 층간 절연막(18)과, 제 1 하드 마스크(20)가 형성되어 있다. 또한, 본 명세서에서의 기판 (10)에는, 실리콘 기판 등의 반도체 기판 자체, 트랜지스터 등의 소자가 형성된 반도체 기판, 또는 이들 위에 1층 또는 2층 이상의 배선층이 형성된 반도체 기판도 포함된다. 배선층(14)은 콘택트 플러그나 불순물 확산층이라도 된다.
제 1 하드 마스크(20) 및 포러스실리카막(18b)에는, 배선 트렌치(32)가 형성되어 있다. SiOCH막(18a) 및 스토퍼층(16)에는, 배선층(14)에 달하고, 배선 트렌치(32)측에 광폭부분(34)을 갖는 비어홀(26)이 형성되어 있다. 비어홀(26) 및 배선 트렌치(32) 내에는, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 비어홀(26)을 통해서 배선층(14)에 접속된 배선층(40)이 매립되어 있다. 배선층(40)은 소위 듀얼다마신법에 의해 층간 절연막(18)에 매립된 것이고, 비어홀(26)에 매립된 부분과 배선 트렌치(32)에 매립된 부분이 일체 형성되고 있고, 이들간에 이음매는 존재하지 않는다.
이와 같이 본 실시예에 의한 반도체 장치는 층간 절연막(18)에 매립된 배선층(40)을 갖는 반도체 장치에서, 배선층(14)에 접속하는 비어홀(26)의 배선 트렌치(32)측에 광폭부분(34)이 형성되어 있는 것에 주된 특징이 있다. 비어홀(26)의 배선 트렌치(32)측에 광폭부분(34)을 설치함으로써, 비어홀(26)의 폭을 넓힐 수 있다. 이에 따라, 비어홀(26) 내에 배리어 메탈(36) 및 Cu막(38)을 용이하게 퇴적 할 수 있고, 매립 불량이 발생하는 것을 억제할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.
다음으로, 본 실시예에 의한 반도체 장치의 제조 방법에 대해서 도 2 내지 도 8을 이용하여 설명한다.
먼저, 층간 절연막(12)에 매립된 배선층(14)을 갖는 기판(10) 상에, 예를 들면 플라스마 CVD법에 의해, 예를 들면 막 두께 50nm의 SiCH막을 퇴적한다. 이에 따라, 기판(10) 상에 SiCH막으로 이루어지는 스토퍼층(16)을 형성한다.
이어서, 스토퍼층(16) 상에, 예를 들면 플라스마CVD법에 의해, 예를 들면 막 두께 160nm의 SiOCH막(18a)을 형성한다.
이어서, 예를 들면 실록산 폴리머 용액을 스핀 코팅하여 400℃정도의 굽기를 행함으로써, SiOCH막(18a) 상에, 예를 들면 막 두께 140nm의 포러스실리카막(18b)을 형성한다.
이렇게 해서, 스토퍼층(16) 상에, SiOCH막(18a)과 포러스실리카막(18b)의 적층막으로 이루어지는 저유전율의 층간 절연막(18)을 형성한다.
이어서, 층간 절연막(18) 상에, 예를 들면 플라스마 CVD법에 의해, 예를 들면 막 두께 50nm의 SiCOH막과, 예를 들면 막 두께 100nm의 SiO2막을 성장한다. 이에 따라, SiCOH막으로 이루어지는 제 1 하드 마스크(20)와, SiO2막으로 이루어지는 제 2 하드 마스크(22)를 형성한다(도 2의 (a)).
또한, 「하드 마스크」란, ArF 레지스트 등의 에칭 가스에 대한 내성이 낮은 마스크에 대비하여 사용할 수 있는 용어이고, 이들 마스크보다도 에칭 내성이 높은 성질을 갖는 마스크를 의미한다. 하드 마스크를 사용할 경우, 보통, ArF 레지스트 등에 형성한 패턴을 하드 마스크에 전사한 후, 패터닝한 하드 마스크를 마스크로 하여 하층 구조체의 가공이 행해진다. 본원 명세서에서는, 하드 마스크를 단지 마 스크로 표현하는 경우도 있다.
제 1 하드 마스크(20)는 주로 층간 절연막(18)에 비어홀을 형성할 때에 마스크로서 이용하는 막이다. 또한, 제 2 하드 마스크는 주로 층간 절연막(18)에 배선 트렌치를 형성할 때에 마스크로서 이용하는 막이다. 따라서, 이들 하드 마스크의 구성 재료는 층간 절연막(18)에 대하여 에칭 선택성을 확보할 수 있는 재료로부터 선택한다. 본 실시예와 같이 에칭 대상이 되는 층간 절연막(18)이 무기계 절연 재료를 주체로 하는 막의 경우, 제 1 및 제 2 하드 마스크로서는, 예를 들면 유기막, 카본막, SiCOH막 등, 탄소(C)를 함유하고 있는 막을 적용하는 것이 바람직하다. 제 1 하드 마스크(20)와 제 2 하드 마스크(22)는 서로 에칭 선택성을 확보할 수 있는 재료에 의해 구성한다.
이어서, 제 2 하드 마스크(22) 상에, 포토리소그래피에 의해 비어부 형성 영역을 노출하는 ArF 레지스트막(24)을 형성한다(도 2의 (b)). ArF 레지스트막(24)의 막 두께는 예를 들면 300nm로 하고, 비어부 형성 영역의 개구 직경은 예를 들면 100nmφ로 한다.
이어서, ArF 레지스트막(24)을 마스크로 하여, 제 2 하드 마스크(22), 제 1 하드 마스크(20), 포러스실리카막(18b) 및 SiOCH막(18a)을 순차 이방성 에칭하고, 비어홀(26)을 SiOCH막(18a)의 도중까지 개구한다.
이어서, 예를 들면 애싱에 의해 ArF 레지스트막(24)을 제거한다(도 3의 (a)).
이어서, 예를 들면 스핀 코팅법에 의해 예를 들면 막 두께 1㎛의 수지막을 형성한 후, 이 수지막을 예를 들면 산소 플라즈마를 이용한 드라이 에칭에 의해 에치백한다. 이에 따라, 비어홀(26) 내에 수지막(28)을 충전하고, 표면을 평탄화한다.
이어서, 수지막(28)이 매립된 제 2 하드 마스크(22) 상에, 포토리소그래피에 의해 배선 트렌치 형성 영역을 노출하는 ArF 레지스트막(30)을 형성한다(도 3의 (b)). ArF 레지스트막(30)의 막 두께는 예를 들면 300nm로 한다.
이어서, ArF레지스트막(30)을 마스크로 하여, 제 1 하드 마스크(20) 및 수지막(28)을 스토퍼로 하여, 제 2 하드 마스크(22)를 이방성 에칭하고, 배선 트렌치(32)를 제 1 하드 마스크(20) 상까지 개구한다.
이어서, 예를 들면 애싱에 의해 ArF 레지스트막(30) 및 수지막(28)을 제거한다(도 4의 (a)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 제 1 하드 마스크(20)를 도중까지 막 두께에서 예를 들면 20nm정도 등방적으로 에칭한다. 이 때의 에칭 조건은 포러스실리카막(18b)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 충분히 커지는 조건, 예를 들면 H2 가스의 유량을 300sccm, CF4 가스의 유량을 3sccm, 처리 실내 압력을 300mTorr, 파워를 100W로 한다.
제 1 하드 마스크(20)를 등방적으로 에칭함으로써, 제 1 하드 마스크(20)의 표면 부분으로부터 깊이 방향으로, 비어홀(26)의 측벽 부분으로부터 수평 방향으로, 각각 에칭이 진행한다. 따라서, 제 1 하드 마스크(20)의 에칭을 도중에 정지하면, 비어홀(26) 주변부에는 층간 절연막(18)의 상면부가 노출하고, 비어홀(26) 주변부를 제외한 배선 트렌치(32) 내에서의 제 1 하드 마스크(20)의 막 두께가 감소한다(도 4의 (b)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 개구부(32) 내에 잔존하는 제 1 하드 마스크(20)를 이방성 에칭한다. 이 때, 층간 절연막(18), 적어도 포러스실리카막(18b)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 작아지는 에칭 조건을 이용하여, 제 1 하드 마스크(20)를 에칭한다. 에칭 조건은 예를 들면 CHF3 가스의 유량을 30sccm, O2 가스의 유량을 5sccm, N2 가스의 유량을 15sccm, 처리 실내 압력을 15mTorr, 파워를 200W로 한다.
이에 따라, 배선 트렌치(32)는 층간 절연막(18) 상까지 개구된다. 또한, 제 1 하드 마스크(20)가 형성되어 있지 않은 비어홀(26)의 주변부에서는 포러스실리카막(18b)이 에칭된다. 이에 따라, 포러스실리카막(18b) 표면측의 비어홀(26) 주변부에는, 에칭 전의 제 1 하드 마스크(20)의 형상을 반영하여 도시된 바와 같은 광폭부분(34)이 형성된다(도 5의 (a)). 광폭부분(34)의 개구 직경은 예를 들면 140nm정도가 된다.
비어홀(26)의 광폭부분(34)의 형상은 도 4의 (b)의 공정에서의 등방성 에칭의 양과, 도 5의 (a)의 공정에서의 이방성 에칭의 양의 조합을 변화시킴으로써 제어할 수 있다.
즉, 도 4의 (b)의 공정에서의 등방성 에칭의 양이 적은 경우, 제 1 하드 마 스크의 횡방향의 에칭량이 적어지고(도 7의 (a)), 도 5의 (a)의 공정에서의 이방성 에칭에 의해 형성되는 광폭부분의 폭이 작아진다(도 7의 (b)). 즉, 비어홀(26) 상부의 테이퍼 각은 작아진다. 한편, 도 4의 (a)의 공정에서의 등방성 에칭의 양이 많은 경우, 제 1 하드 마스크의 횡방향의 에칭량이 많아지고(도 8의 (a)), 도 5의 (a)의 공정에서의 이방성 에칭에 의해 형성되는 광폭부분의 폭이 커진다(도 8의 (b)). 즉, 비어홀(26) 상부의 테이퍼 각은 커진다.
또한, 광폭부분(34)은 제 2 하드 마스크(22)를 마스크로서 형성하기 때문에, 배선 트렌치 형성 영역보다도 넓어지는 것을 방지할 수 있다. 이에 따라, 인접하여 배선층이 형성되는 경우에도, 배선층간의 쇼트를 방지할 수 있다.
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 포러스실리카막(18b), SiOCH막(18a) 및 스토퍼층(16)을 이방성 에칭하고, 비어홀(26) 및 배선 트렌치(32)를 파 내려간다. 에칭 조건은 예를 들면 CF4 가스의 유량을 100sccm, CHF3 가스의 유량을 50sccm, 처리 실내 압력을 200mTorr, 파워를 500W로 한다.
이에 따라, 배선 트렌치(32)가 SiOCH막(18a) 상까지 개구된다. 또한, 포러스실리카막(18a) 및 스토퍼층(16)에는, 배선 트렌치(32)측에 광폭부분(34)을 갖고, 배선층(14)에 달하는 비어홀(26)이 형성된다(도 5의 (b)).
이어서, 배리어 메탈 및 Cu 시드를 스퍼터링법에 의해 퇴적하고, Cu 도금을 행한다. 이에 따라, 비어홀(26) 및 배선 트렌치(32)를 배리어 메탈(36) 및 Cu막(38)에 의해 매립한다(도 6의 (a)).
이어서, Cu막(38), 배리어 메탈(36) 및 제 2 하드 마스크(22)를, 제 1 하드 마스크(20)가 노출할 때까지 CMP법에 의해 연마하고, Cu막(38) 및 배리어 메탈(36)을 비어홀(26) 내 및 배선 트렌치(32) 내에 선택적으로 잔존시킨다. 이렇게 해서, 비어홀(26) 내 및 배선 트렌치(32) 내에, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 배선층(14)에 접속된 배선층(40)을 형성한다(도 6의 (b)).
이어서, 필요에 따라, 상층의 배선층을 반복 형성하여 반도체 장치를 완성한다.
이와 같이, 본 실시예에 의하면, 듀얼다마신법에 의해 배선층을 형성하는 경우에, 주로 비어홀을 형성하기 위해서 사용하는 제 1 하드 마스크를, 층간 절연막에 대하여 에칭 선택비가 충분히 큰 조건에서 등방성 에칭한 후, 층간 절연막에 대하여 에칭 선택비가 작은 조건에서 이방성 에칭함으로써, 배선 트렌치 형성 영역의 제 1 하드 마스크를 제거하므로, 배선 트렌치측에 광폭부분을 갖는 비어홀을 형성할 수 있다. 이에 따라, 비어홀 내에 배리어 메탈 및 Cu막을 용이하게 퇴적할 수 있고, 보이드 등의 매립 불량이 발생하는 것을 억제할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.
[제 2 실시예]
본 발명의 제 2 실시예에 의한 반도체 장치의 제조 방법에 대해서 도 9 내지 도 13을 이용하여 설명한다. 도 9 내지 도 13은 본 실시예에 의한 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 또한, 도 1 내지 도 8에 도시된 제 1 실시예에 의한 반도체 장치 및 그 제조 방법과 동일한 구성요소에는 동일한 부호를 붙여 설명을 생략하거나 또는 간결하게 한다.
상기 제 1 실시예에서는 2층 구조의 하드 마스크를 사용한 경우를 나타냈지만, 본 실시예에서는 3층 구조의 하드 마스크를 사용해서 비어홀 및 배선 트렌치를 형성하는 반도체 장치의 제조 방법에 대해서 설명한다.
먼저, 기판(10) 상에, 예를 들면 플라스마 CVD법에 의해, 예를 들면 막 두께 50nm의 SiCH막을 퇴적한다. 이에 따라, 기판(10)상에, SiCH막으로 이루어지는 스토퍼층(16)을 형성한다.
이어서, 스토퍼층(16) 상에, 예를 들면 플라스마 CVD법에 의해, 예를 들면 막 두께 160nm의 SiOCH막(18a)을 형성한다.
이어서, 예를 들면 실록산 폴리머 용액을 스핀 코팅하여 400℃정도의 굽기를 행함으로써, SiOCH막(18a) 상에, 예를 들면 막 두께 140nm의 포러스실리카막(18b)을 형성한다.
이렇게 해서, 스토퍼층(16) 상에, SiOCH막(18a)과 포러스실리카막(18b)의 적층막으로 이루어지는 저유전율의 층간 절연막(18)을 형성한다.
이어서, 층간 절연막(18) 상에, 예를 들면 플라스마 CVD법에 의해, 예를 들면 막 두께 50nm의 SiCOH막과, 예를 들면 막 두께 100nm의 SiO2막과, 예를 들면 막 두께 70nm의 SiC막을 성장한다. 이에 따라, SiCOH막으로 이루어지는 제 1 하드 마스크(20)와, SiO2막으로 이루어지는 제 2 하드 마스크(22)와, SiCH막으로 이루어지는 제 3 하드 마스크(42)를 형성한다(도 9의 (a)).
제 1 하드 마스크(20)는 주로 층간 절연막(18)에 비어홀을 형성할 때에 마스크로서 이용하는 막이다. 또한, 제 2 하드 마스크는 주로 층간 절연막(18)에 배선 트렌치를 형성할 때에 마스크로서 이용하는 막이다. 따라서, 이들 하드 마스크의 구성 재료는 층간 절연막(18)에 대하여 에칭 선택성을 확보할 수 있는 재료로부터 선택한다. 제 1 하드 마스크(20)와 제 2 하드 마스크(22), 제 2 하드 마스크와 제 3 하드 마스크는 서로 에칭 선택성을 확보할 수 있는 재료에 의해 구성한다.
이어서, 제 3 하드 마스크(42) 상에, 포토리소그래피에 의해 비어부 형성 영역을 노출하는 ArF 레지스트막(24)을 형성한다(도 9의 (b)).
ArF 레지스트막(24)의 막 두께는 예를 들면 300nm로 하고, 비어부 형성 영역의 개구 직경은 예를 들면 100nmφ로 한다.
이어서, ArF 레지스트막(24)을 마스크로 하여, 제 3 하드 마스크(42), 제 2 하드 마스크(22), 제 1 하드 마스크(20), 포러스실리카막(18b) 및 SiOCH막(18a)을 순차 이방성 에칭하고, 비어홀(26)을 SiOCH막(18a)의 도중까지 개구한다.
이어서, 예를 들면 애싱에 의해, ArF 레지스트막(24)을 제거한다(도 10의 (a)).
이어서, 예를 들면 스핀 코팅법에 의해 예를 들면 막 두께 1μm의 수지막을 형성한 후, 이 수지막을 예를 들면 산소 플라즈마를 이용한 드라이 에칭에 의해 에치백한다. 이에 따라, 비어홀(26) 내에 수지막(28)을 충전하고, 표면을 평탄화한다.
이어서, 수지막(28)이 매립된 제 3 하드 마스크(42) 상에, 포토리소그래피에 의해 배선 트렌치 형성 영역을 노출하는 ArF 레지스트막(30)을 형성한다(도 10의 (b )). ArF 레지스트막(30)의 막 두께는 예를 들면 300nm로 한다.
이어서, ArF 레지스트막(30)을 마스크로 하여, 제 2 하드 마스크(22) 및 수지막(28)을 스토퍼로 하여, 제 3 하드 마스크(42)를 이방성 에칭하고, 배선 트렌치(32)를 제 2 하드 마스크(22) 상까지 개구한다.
이어서, 예를 들면 애싱에 의해 ArF 레지스트막(30) 및 수지막(28)을 제거한다(도 11의 (a)).
이어서, 제 3 하드 마스크(42)를 마스크로 하여, 제 1 하드 마스크(20)를 스토퍼로 하여, 제 2 하드 마스크(22)를 이방성 에칭하고, 배선 트렌치(32)를 제 1 하드 마스크(22) 상까지 개구한다(도 11의 (b)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 제 1 하드 마스크(20)를 도중까지, 막 두께에서 예를 들면 20nm정도 등방적으로 에칭한다. 이 때의 에칭 조건은 포러스실리카막(18b)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 충분히 커지는 조건, 예를 들면 H2 가스의 유량을 300sccm, CF4 가스의 유량을 3sccm, 처리 실내 압력을 300mTorr, 파워를 100W로 한다.
제 1 하드 마스크(20)를 등방적으로 에칭함으로써, 제 1 하드 마스크(20)의 표면으로부터 깊이 방향으로, 비어홀(26)의 측벽 부분으로부터 수평 방향으로, 각각 에칭이 진행된다. 따라서, 제 1 하드 마스크(20)의 에칭을 도중에 정지하면, 비어홀(26) 주변부에는 층간 절연막(18)의 상면부가 노출하고, 비어홀(26) 주변부를 제외한 배선 트렌치(32) 내에서의 제 1 하드 마스크(20)의 막 두께가 감소한다(도 12의 (a)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 개구부(32) 내에 잔존하는 제 1 하드 마스크(20)를 이방성 에칭한다. 이 때, 층간 절연막(18), 적어도 포러스실리카막(18b)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 작아지는 에칭 조건을 이용하여, 제 1 하드 마스크(20)를 에칭한다. 에칭 조건은 예를 들면 CHF3 가스의 유량을 30sccm, O2 가스의 유량을 5sccm, N2 가스의 유량을 15sccm, 처리 실내 압력을 15mTorr, 파워를 200W로 한다.
이에 따라, 배선 트렌치(32)는 층간 절연막(18) 상까지 개구된다. 또한, 제 1 하드 마스크(20)가 형성되어 있지 않은 비어홀(26)의 주변부에서는 포러스실리카막(18b)이 에칭된다. 이에 따라, 포러스실리카막(18b) 표면측의 비어홀(26) 주변부에는, 에칭 전의 제 1 하드 마스크(20)의 형상을 반영하여 도시된 바와 같은 광폭부분(34)이 형성된다(도 12의 (b)). 광폭부분(34)의 개구 직경은 예를 들면 140nm정도가 된다.
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 포러스실리카막(18b), SiOCH막(18a) 및 스토퍼층(16)을 이방성 에칭하고, 비어홀(26) 및 배선 트렌치(32)를 파 내려간다. 에칭 조건은 예를 들면 CF4 가스의 유량을 100sccm, CHF3 가스의 유량을 50sccm, 처리 실내 압력을 200mTorr, 파워를 500W로 한다.
이에 따라, 배선 트렌치(32)가 SiOCH막(18a) 상까지 개구된다. 또한, SiOCH 막(18a) 및 스토퍼층(16)에는, 배선 트렌치(32)측에 광폭부분(34)을 갖고, 배선층(14)에 달하는 비어홀(26)이 형성된다(도 13의 (a)).
이어서, 배리어 메탈 및 Cu 시드를 스퍼터링법에 의해 퇴적하고, Cu 도금을 행한다. 이에 따라, 비어홀(26) 및 배선 트렌치(32)를 배리어 메탈(36) 및 Cu막(38)에 의해 매립한다.
이어서, Cu막(38), 배리어 메탈(36) 및 제 2 하드 마스크(22)를, 제 1 하드 마스크(20)가 노출할 때까지 CMP법에 의해 연마하고, Cu막(38) 및 배리어 메탈(36)을 비어홀(26) 내 및 배선 트렌치(32) 내에 선택적으로 잔존시킨다. 이렇게 해서, 비어홀(26) 내 및 배선 트렌치(32) 내에, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 배선층(14)에 접속된 배선층(40)을 형성한다(도 12의 (b)).
이렇게, 본 실시예에 의하면, 듀얼다마신법에 의해 배선층을 형성하는 경우에, 주로 비어홀을 형성하기 위해서 이용하는 제 1 하드 마스크를, 층간 절연막에 대하여 에칭 선택비가 충분히 큰 조건에서 등방성 에칭한 후, 층간 절연막에 대하여 에칭 선택비가 작은 조건에서 이방성 에칭함으로써, 배선 트렌치 형성 영역의 제 1 하드 마스크를 제거하므로, 배선 트렌치측에 광폭부분을 갖는 비어홀을 형성할 수 있다. 이에 따라, 비어홀 내에 배리어 메탈 및 Cu막을 용이하게 퇴적할 수 있고, 보이드 등의 매립 불량이 발생하는 것을 억제 할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.
[제 3 실시예]
본 발명의 제 3 실시예에 의한 반도체 장치의 제조 방법에 대해서 도 14 내 지 도 16을 이용하여 설명한다. 도 14 내지 도 16은 본 실시예에 의한 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 또한, 도 1 내지 도 13에 도시된 제 1 및 제 2 실시예에 의한 반도체 장치 및 그 제조 방법과 동일한 구성요소에는 동일한 부호를 붙여 설명을 생략하거나 또는 간결하게 한다.
본 실시예에서는 3층 구조의 하드 마스크를 사용하여 비어홀 및 배선 트렌치를 형성하는 것 이외의 반도체 장치의 제조 방법에 대해서 설명한다.
먼저, 예를 들면 도 9의 (a) 내지 도 11의 (a)에 도시된 제 2 실시예에 의한 반도체 장치의 제조 방법과 같은 방법으로, 층간 절연막(18) 상에 제 1 하드 마스크(20), 제 2 하드 마스크(22) 및 제 3 하드 마스크(42)를 형성한 후, 층간 절연막(18)의 도중까지 달하는 비어홀(26)과, 제 2 하드 마스크(22) 상에 달하는 배선 트렌치(32)를 형성한다(도 14의 (a)).
제 1 하드 마스크(20)는 주로 층간 절연막(18)에 비어홀을 형성할 때에 마스크로서 이용하는 막이다. 또한, 제 2 하드 마스크는 주로 층간 절연막(18)에 배선 트렌치를 형성할 때에 마스크로서 이용하는 막이다. 따라서, 이들 하드 마스크의 구성 재료는 층간 절연막(18)에 대하여 에칭 선택성을 확보할 수 있는 재료로부터 선택한다. 제 1 하드 마스크(20)와 제 2 하드 마스크(22), 제 2 하드 마스크와 제 3 하드 마스크는 서로 에칭 선택성을 확보할 수 있는 재료에 의해 구성한다.
이어서, 제 3 하드 마스크(42)를 마스크로 하여, 제 1 하드 마스크(20)를 스토퍼로 하여, 제 2 하드 마스크(22)를 등방적으로 에칭한다. 이 때의 에칭 조건은 제 1 하드 마스크(22)에 대한 제 2 하드 마스크(22)의 에칭 선택비가 충분히 커지 는 조건, 예를 들면 C4F6 가스의 유량을 50sccm, O2 가스의 유량을 20sccm, Ar 가스의 유량을 300sccm, 처리 실내 압력을 200mTorr, 파워를 500W로 한다.
제 2 하드 마스크(22)를 등방적으로 에칭함으로써, 제 2 하드 마스크(22)의 표면으로부터 깊이 방향으로, 비어홀(26)의 측벽 부분으로부터 수평 방향으로, 각각 에칭이 진행된다. 따라서, 제 2 하드 마스크(22)의 에칭을 도중에 정지하면, 비어홀(26) 주변부에는 제 1 하드 마스크(20)의 상면부가 노출하고, 비어홀(26) 주변부를 제외한 배선 트렌치(32) 내에서의 제 2 하드 마스크(22)의 막 두께가 감소한다(도 14의 (b)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 층간 절연막(18)을 스토퍼로 하여, 제 1 하드 마스크(20)를 이방성 에칭한다. 이 때의 에칭 조건은 제 1 하드 마스크(22)에 대한 제 2 하드 마스크(22)의 에칭 선택비가 작아지는 조건, 예를 들면 CH2F2 가스의 유량을 30sccm, O2 가스의 유량을 10sccm, N2 가스의 유량을 100sccm, 처리 실내 압력을 20mTorr, 파워를 200W로 한다.
본 공정에서의 제 1 하드 마스크(20)의 에칭량은 제 1 하드 마스크(20)의 막 두께 정도로 하고, 비어홀(26) 주변부의 층간 절연막(18)이 노출한 단계에서 정지한다. 이에 따라, 비어홀(26) 주변부의 제 1 하드 마스크(20)는 제거되어 층간 절연막(18)의 상면부가 노출하고, 비어홀(26) 주변부를 제외한 배선 트렌치(32) 내에서의 제 1 하드 마스크(20)의 막 두께는 감소한다(도 15의 (a)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 개구부(32) 내에 잔존하는 제 1 하드 마스크(20)를 이방성 에칭한다. 이 때, 층간 절연막(18), 적어도 포러스실리카막(18b)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 작아지는 에칭 조건을 이용하여, 제 1 하드 마스크(20)를 에칭한다. 에칭 조건은 예를 들면 CHF3 가스의 유량을 30sccm, O2 가스의 유량을 5sccm, N2 가스의 유량을 15sccm, 처리 실내 압력을 15mTorr, 파워를 200W로 한다.
이에 따라, 배선 트렌치(32)는 층간 절연막(18) 상까지 개구된다. 또한, 제 1 하드 마스크(20)가 형성되어 있지 않은 비어홀(26)의 주변부에서는 포러스실리카막(18b)이 에칭된다. 이에 따라, 포러스실리카막(18b) 표면측의 비어홀(26) 주변부에는, 에칭 전의 제 1 하드 마스크(20)의 형상을 반영하여 도시된 바와 같은 광폭부분(34)이 형성된다(도 15의 (b)). 광폭부분(34)의 개구 직경은 예를 들면 140nm정도가 된다.
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 포러스실리카막(18b), SiOCH막(18a) 및 스토퍼층(16)을 이방성 에칭하고, 비어홀(26) 및 배선 트렌치(32)를 파 내려간다. 에칭 조건은 예를 들면 CF4 가스의 유량을 10Osccm, CHF3 가스의 유량을 50sccm, 처리 실내 압력을 200mTorr, 파워를 500W로 한다.
이에 따라, 배선 트렌치(32)가 SiOCH막(18a) 상까지 개구된다. 또한, SiOCH막(18a) 및 스토퍼층(16)에는, 배선 트렌치(32)측에 광폭부분(34)을 갖고, 배선층(14)에 달하는 비어홀(26)이 형성된다(도 16의 (a)).
이어서, 배리어 메탈 및 Cu 시드를 스퍼터링법에 의해 퇴적하고, Cu 도금을 행한다. 이에 따라, 비어홀(26) 및 배선 트렌치(32)를 배리어 메탈(36) 및 Cu막(38)에 의해 매립한다.
이어서, Cu막(38), 배리어 메탈(36) 및 제 2 하드 마스크(22)를, 제 1 하드 마스크(20)가 노출할 때까지 CMP법에 의해 연마하고, Cu막(38) 및 배리어 메탈(36)을 비어홀(26) 내 및 배선 트렌치(32) 내에 선택적으로 잔존시킨다. 이렇게 해서, 비어홀(26) 내 및 배선 트렌치(32) 내에, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 배선층(14)에 접속된 배선층(40)을 형성한다(도 16의 (b)).
이와 같이, 본 실시예에 의하면, 듀얼다마신법에 의해 배선층을 형성하는 경우에, 주로 배선 트렌치를 형성하기 위해서 이용하는 제 2 하드 마스크를, 주로 비어홀을 형성하기 위해서 이용하는 제 1 하드 마스크에 대하여 에칭 선택비가 충분히 큰 조건에서 등방성 에칭한 후, 제 1 하드 마스크에 대하여 에칭 선택비가 작은 조건에서 이방성 에칭함으로써, 배선 트렌치 형성 영역의 제 2 하드 마스크를 제거하고, 그 후, 배선 트렌치 내의 제 1 하드 마스크를, 층간 절연막에 대하여 에칭 선택비가 작은 조건에서 이방성 에칭함으로써 제거하므로, 배선 트렌치측에 광폭부분을 갖는 비어홀을 형성할 수 있다. 이에 따라, 비어홀 내에 배리어 메탈 및 Cu막을 용이하게 퇴적할 수 있고, 보이드 등의 매립 불량이 발생하는 것을 억제할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.
[제 4 실시예]
본 발명의 제 4 실시예에 의한 반도체 장치의 제조 방법에 대해서 도 17 내지 도 24를 이용하여 설명한다. 도 17은 본 실시예에 의한 반도체 장치의 구조를 나타내는 개략적인 단면도, 도 18 내지 도 24는 본 실시예에 의한 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 또한, 도 1 내지 도 16에 도시된 제 1 내지 제 3 실시예에 의한 반도체 장치 및 그 제조 방법과 동일한 구성요소에는 동일한 부호를 붙여 설명을 생략하거나 또는 간결하게 한다.
먼저, 본 실시예에 의한 반도체 장치의 구조에 대해서 도 17을 이용하여 설명한다.
층간 절연막(12)에 매립된 배선층(14)을 갖는 기판(10) 상에는, 스토퍼층(16)과, SiOCH막(18a) 및 유기 절연막(18c)으로 이루어지는 층간 절연막(18)과, 제 1 하드 마스크(20)가 형성되어 있다.
제 1 하드 마스크(20) 및 유기 절연막(18c)에는, 배선 트렌치(32)가 형성되어 있다. SiOCH막(18a) 및 스토퍼층(16)에는, 배선층(14)에 달하고, 배선 트렌치(32)측에 광폭부분(34)을 갖는 비어홀(26)이 형성되어 있다. 비어홀(26) 및 배선 트렌치(32) 내에는, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 비어홀(26)을 통해서 배선층(14)에 접속된 배선층(40)이 매립되어 있다.
이와 같이, 본 실시예에 의한 반도체 장치는 층간 절연막(18)이 SiOCH막(18a) 및 유기 절연막(18c)으로 구성되어 있는 것 이외에는, 도 1에 도시된 제 1 실시예에 의한 반도체 장치와 동일하다. 이와 같이 하여, 반도체 장치를 구성함으로써, 비어홀(26)의 배선 트렌치(32)측에 광폭부분(34)을 형성함으로써, 비어홀(26)의 폭을 넓힐 수 있다. 이에 따라, 비어홀(26) 내에 배리어 메탈(36) 및 Cu막(38)을 용이하게 퇴적할 수 있고, 매립 불량이 발생하는 것을 억제할 수 있다. 따 라서, 배선의 신뢰성을 향상할 수 있다.
다음으로, 본 실시예에 의한 반도체 장치의 제조 방법에 대해서 도 18 내지 도 24를 이용하여 설명한다. 또한, 본 실시예에 의한 반도체 장치와 같이 층간 절연막(18)이 유기 절연막(18c)을 포함하는 경우, 제 1 내지 제 3 실시예에 의한 반도체 장치의 제조 방법을 적용하면, ArF 포토레지스트막(24, 30)을 애싱에 의해 제거할 때에 유기 절연막(18c)도 에칭되어버린다. 그래서, 층간 절연막(18)이 유기 절연막(18c)을 포함하는 경우에는, 이 점을 고려할 필요가 있다.
먼저, 기판(10) 상에, 예를 들면 플라스마 CVD법에 의해 예를 들면 막 두께 50nm의 SiCH막을 퇴적한다. 이에 따라, 기판(10) 상에, SiCH막으로 이루어지는 스토퍼층(16)을 형성한다.
이어서, 스토퍼층(16) 상에, 예를 들면 플라스마 CVD법에 의해 예를 들면 막 두께 160nm의 SiOCH막(18a)을 형성한다.
이어서, SiOCH막(18a) 상에, 예를 들면 스핀 코팅법에 의해 예를 들면 막 두께 150nm의 유기계 폴리머(예를 들면 다우·케미컬사 제품의 유기계 폴리머 SiLK(등록상표))로 이루어지는 유기 절연막(18c)을 형성한다.
이렇게 해서, 스토퍼층(16) 상에 SiOCH막(18a) 및 유기 절연막(18c)으로 이루어지는 층간 절연막(18)을 형성한다.
이어서, 층간 절연막(18) 상에, 예를 들면 플라스마 CVD법에 의해 예를 들면 막 두께 50nm의 SiCOH막과, 예를 들면 막 두께 100nm의 SiO2막과, 예를 들면 막 두 께 70nm의 SiCH막을 성장한다. 이에 따라, SiCOH막으로 이루어지는 제 1 하드 마스크(20)와, SiO2막으로 이루어지는 제 2 하드 마스크(22)와, SiCH막으로 이루어지는 제 3 하드 마스크(42)를 형성한다.
제 1 하드 마스크(20)는 주로 층간 절연막(18)에 비어홀을 형성할 때에 마스크로서 이용하는 막이다. 또한, 제 2 하드 마스크는 주로 층간 절연막(18)에 배선 트렌치를 형성할 때에 마스크로서 이용하는 막이다. 따라서, 이들 하드 마스크의 구성 재료는 층간 절연막(18)에 대하여 에칭 선택성을 확보할 수 있는 재료로부터 선택한다. 제 1 하드 마스크(20)와 제 2 하드 마스크(22), 제 2 하드 마스크와 제 3 하드 마스크는 서로 에칭 선택성을 확보할 수 있는 재료에 의해 구성한다. 본 실시예와 같이 에칭 대상이 되는 층간 절연막(18)이 유기 절연막을 포함하는 경우, 제 1 내지 제 3 하드 마스크로서는, SiO, SiN, SiCOH, SiCH 등을 적용하는 것이 바람직하다.
이어서, 제 3 하드 마스크(42) 상에, 포토리소그래피에 의해 비어부 형성 영역을 노출하는 ArF 레지스트막(24)을 형성한다(도 18의 (a)). ArF 레지스트막(24)의 막 두께는 예를 들면 300nm로 하고, 비어부 형성 영역의 개구 직경은 예를 들면 100nmφ로 한다.
이어서, ArF 레지스트막(24)을 마스크로 하여, 제 3 하드 마스크(42) 및 제 2 하드 마스크(22)를 순차 이방성 에칭하고, 비어홀(26)을 제 2 하드 마스크(22)까지 개구한다.
이어서, 예를 들면 애싱에 의해 ArF 레지스트막(24)을 제거한다(도 18의 (b)).
이어서, 예를 들면 스핀 코팅법에 의해 예를 들면 막 두께 1μm의 수지막을 형성한 후, 이 수지막을 예를 들면 산소 플라즈마를 이용한 드라이 에칭에 의해 에치백한다. 이에 따라, 비어홀(26) 내에 수지막(28)을 충전하고, 표면을 평탄화한다.
이어서, 수지막(28)이 매립된 제 3 하드 마스크(42) 상에, 포토리소그래피에 의해 배선 트렌치 형성 영역을 노출하는 ArF 레지스트막(30)을 형성한다(도 19의 (a )). ArF 레지스트막(30)의 막 두께는 예를 들면 300nm로 한다.
이어서, ArF 레지스트막(30)을 마스크로 하여, 제 2 하드 마스크(22) 및 수지막(28)을 스토퍼로 하여, 제 3 하드 마스크(42)를 이방성 에칭하고, 배선 트렌치(32)를 제 2 하드 마스크(22) 상까지 개구한다.
이어서, 예를 들면 애싱에 의해 ArF 레지스트막(30) 및 수지막(28)을 제거한다(도 19의 (b)).
이어서, 제 2 하드 마스크(22) 및 제 3 하드 마스크(42)를 마스크로 하여, 유기 절연막(18c)을 스토퍼로 하여, 제 1 하드 마스크(20)를 이방성 에칭하고, 비어홀(26)을 유기 절연막(18c) 상까지 개구한(도 20의 (a)).
이어서, 제 2 하드 마스크(22) 및 제 3 하드 마스크(42)를 마스크로 하여, SiOCH막(18a)을 스토퍼로 하여, 유기 절연막(18c)을 이방성 에칭하고, 비어홀(26)을 SiOCH막(18a) 상까지 개구한다(도 20의 (b)).
이어서, 제 1 하드 마스크(20) 및 제 3 하드 마스크(42)를 마스크로 하여, 제 2 하드 마스크(22) 및 SiOCH막(18a)을 이방성 에칭하고, 배선 트렌치(32)를 제 1 하드 마스크(22) 상까지 개구하는 동시에, 비어홀을 SiOCH막(18a)의 도중까지 개구한다(도 21의 (a)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 제 1 하드 마스크(20)를 도중까지 막 두께에서 예를 들면 20nm정도 등방적으로 에칭한다. 이 때의 에칭 조건은 유기 절연막(18c)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 충분히 커지는 조건, 예를 들면 CH2F2 가스의 유량을 30sccm, O2 가스의 유량을 5sccm, N2 가스의 유량을 100sccm, 처리 실내 압력을 100mTorr, 파워를 200W로 한다.
제 1 하드 마스크(20)를 등방적으로 에칭함으로써, 제 1 하드 마스크(20)의 표면으로부터 깊이 방향으로, 비어홀(26)의 측벽 부분으로부터 수평 방향으로, 각각 에칭이 진행된다. 따라서, 제 1 하드 마스크(20)의 에칭을 도중에 정지하면, 비어홀(26) 주변부에는 층간 절연막(18)의 상면부가 노출하고, 비어홀(26) 주변부를 제외한 배선 트렌치(32)내에서의 제 1 하드 마스크(20)의 막 두께가 감소한다(도 21의 (b)). 또한, 제 3 하드 마스크(42)는 제 1 하드 마스크(22)의 에칭 시에 제거된다.
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 개구부(32) 내에 잔존하는 제 1 하드 마스크(20)를 이방성 에칭한다. 이 때, 층간 절연막(18), 적어도 유기 절연막(18c)에 대한 제 1 하드 마스크(20)의 에칭 선택비가 작아지는 에칭 조건을 이용하여, 제 1 하드 마스크(20)를 에칭한다. 에칭 조건은 예를 들면 CH2F2 가스의 유량을 50sccm, O2 가스의 유량을 10sccm, N2 가스의 유량을 100sccm, 처리 실내 압력을 20mTorr, 파워를 200W로 한다.
이에 따라, 배선 트렌치(32)는 층간 절연막(18) 상까지 개구된다. 또한, 제 1 하드 마스크(20)가 형성되어 있지 않은 비어홀(26)의 주변부에서는 유기 절연막(18c)이 에칭된다. 이에 따라, 유기 절연막(18c) 표면측의 비어홀(26) 주변부에는, 에칭 전의 제 1 하드 마스크(20)의 형상을 반영하여 도시된 바와 같은 광폭부분(34)이 형성된다(도 22의 (a)). 광폭부분(34)의 개구 직경은 예를 들면 140nm정도가 된다.
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 유기 절연막(18c)을 이방성 에칭한다. 에칭 조건은 예를 들면 NH3 가스의 유량을 300sccm, 처리 실내 압력을 300mTorr, 파워를 200W로 한다.
이에 따라, 비어홀(26)의 광폭부분(34)이 SiOCH막(18a) 상까지 달한다(도 22의 (b)).
이어서, 제 2 하드 마스크(22) 및 유기 절연막(18c)을 마스크로 하여 SiOCH막(18a)을 이방성 에칭한다. 에칭 조건은 예를 들면 C4F6 가스의 유량을 30sccm, O2 가스의 유량을 5sccm, N2 가스의 유량을 300sccm, 처리 실내 압력을 30mTorr, 파워를 1000W로 한다.
이에 따라, 비어홀(26)의 광폭부분(34)이 SiOCH막(18a)의 상면부에 형성된다(도 23의 (a)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 유기 절연막(18c)을 이방성 에칭한다. 에칭 조건은 예를 들면 NH3 가스의 유량을 300sccm, 처리 실내 압력을 50mTorr, 파워를 300W로 한다.
이에 따라, 배선 트렌치(32)를 SiOCH막(18a) 상까지 개구한다(도 23의 (b)).
이어서, 제 2 하드 마스크(22)를 마스크로 하여, 스토퍼층(16)을 이방성 에칭한다. 에칭 조건은 예를 들면 CF4 가스의 유량을 100sccm, CHF3 가스의 유량을 50sccm, 처리 실내 압력을 200mTorr, 파워를 500W로 한다.
이에 따라, SiOCH막(18a) 및 스토퍼층(16)에는, 배선 트렌치(32)측에 광폭부분(34)을 갖고, 배선층(14)에 달하는 비어홀(26)이 형성된다(도 24의 (a)).
이어서, 배리어 메탈 및 Cu 시드를 스퍼터링법에 의해 퇴적하고, Cu 도금을 행한다. 이에 따라, 비어홀(26) 및 배선 트렌치(32)를 배리어 메탈(36) 및 Cu막(38)에 의해 매립한다.
이어서, Cu막(38), 배리어 메탈(36) 및 제 2 하드 마스크(22)를, 제 1 하드 마스크(20)가 노출할 때까지 CMP법에 의해 연마하고, Cu막(38) 및 배리어 메탈(36)을 비어홀(26) 내 및 배선 트렌치(32) 내에 선택적으로 잔존시킨다. 이렇게 해서, 비어홀(26) 내 및 배선 트렌치(32) 내에, 배리어 메탈(36) 및 Cu막(38)으로 이루어지고, 배선층(14)에 접속된 배선층(40)을 형성한다(도 24의 (b)).
이와 같이, 본 실시예에 의하면, 듀얼다마신법에 의해 배선층을 형성하는 경우에, 주로 비어홀을 형성하기 위해서 이용하는 제 1 하드 마스크를, 층간 절연막에 대하여 에칭 선택비가 충분히 큰 조건에서 등방성 에칭한 후, 층간 절연막에 대하여 에칭 선택비가 작은 조건에서 이방성 에칭함으로써, 배선 트렌치 형성 영역의 제 1 하드 마스크를 제거하므로, 배선 트렌치측에 광폭부분을 갖는 비어홀을 형성할 수 있다. 이에 따라, 비어홀 내에 배리어 메탈 및 Cu막을 용이하게 퇴적할 수 있고, 보이드 등의 매립 불량이 발생하는 것을 억제할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.
[변형 실시예]
본 발명은 상기 실시예에 한하지 않고 여러 변형이 가능하다.
예를 들면, 상기 제 4 실시예에서는 제 1 하드 마스크(20)의 등방성 에칭을 이용하여 광폭부분(34)을 갖는 비어홀(26)을 형성했지만, 제 3 실시예의 경우와 같이 제 2 하드 마스크(22)의 등방성 에칭을 이용하여 광폭부분(34)을 갖는 비어홀(26)을 형성하는 것도 가능하다. 이 경우, 도 20의 (b)에 도시된 공정에서, 제 2 하드 마스크(22)를 등방성 에칭하여 그 형상을 도 14의 (b)에 도시된 것과 동일한 형상으로 성형하고, 제 2 하드 마스크(22) 및 제 1 하드 마스크를 이방성 에칭하여 그들 형상을 도 15의 (a)에 도시한 것과 동일한 형상으로 성형한 후, 도 21의 (b) 이후의 공정을 행하도록 하면 된다.
또한, 상기 제 1 내지 제 3 실시예에서는, SiOCH막(18a)과 포러스실리카막(18b)의 적층막에 의해 층간 절연막(18)을 구성하고, 상기 제 4 실시예에서는, SiOCH막(18a)과 SiLK로 이루어지는 유기 절연막(18c)의 적층막에 의해 층간 절연막(18)을 구성했지만, 층간 절연막을 구성하는 재료는 이들에 한정되지 않는다. 층간 절연막을 구성하는 재료는 요구되는 유전율이나 강도 등에 따라 적절히 선택할 수 있다.
저유전율의 무기계 절연막으로서는, 예를 들면 포러스실리카막, 포러스 SiOC막, 포러스 SiOCH막 등을 적용할 수 있다. 또한, 저유전율의 유기계 절연막으로서는, 예를 들면 다우·케미컬사 제품의 유기계 폴리머 SiLK(등록상표), 하네 웰사 제품의 유기계 폴리머 FLARE(등록상표) 등을 적용할 수 있다.
또한, 층간 절연막(18)은 2층 구조일 필요는 없고, 1층의 절연막에 의해 구성하도록 해도 된다. 또한, 3층 이상의 적층막으로 구성하도록 해도 된다. 또한, 적층 구조로 한 경우에는, 층간에 중간 스토퍼층을 삽입하도록 해도 된다.
이상 상술한 바와 같이, 본 발명의 특징을 정리하면 아래와 같다.
(부기 1) 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크를 순차 형성하는 공정과,
비어홀 형성 영역의 상기 제 1 마스크 및 상기 제 2 마스크를 제거하는 공정과,
상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과,
상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 2 마스크를 제거하는 공정과,
상기 비어홀 형성 영역의 주변부에 상기 절연막의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 1 마스크가 잔존하도록, 상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크를 등방성 에칭하는 공정과,
상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에, 상부에 광폭부를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과,
상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 2) 부기 1 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 및 상기 배선 트렌치를 형성하는 공정은
상기 절연막에 대한 에칭 선택비가 작은 조건에서 상기 제 1 마스크를 이방성 에칭하고, 상기 절연막 상의 상기 제 1 마스크를 에칭하는 동시에, 상기 주변부의 상기 절연막을 선택적으로 에칭하여 상기 광폭부를 형성하는 공정과,
상기 절연막을 더 이방성 에칭하고, 상기 비어홀을 상기 기판까지 파 내려가는 동시에 상기 배선 트렌치를 형성하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 3) 부기 1 또는 2 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
상기 제 2 마스크 상에, 상기 비어홀 형성 영역을 노출하는 포토레지스트막 을 형성하는 공정과,
상기 포토레지스트막을 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 이방성 에칭하는 공정을 갖고,
상기 비어홀 형성 영역의 상기 절연막을 이방성 에칭하는 공정에서는, 상기 포토레지스트, 상기 제 2 마스크 및 상기 제 1 마스크를 마스크로 하여, 상기 절연막을 에칭하는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 4) 부기 1 또는 2 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
상기 제 2 마스크 상에, 상기 비어홀 형성 영역을 노출하는 포토레지스트막을 형성하는 공정과,
상기 포토레지스트막을 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 2 마스크를 이방성 에칭하는 공정과,
상기 포토레지스트 막을 제거하는 공정과,
상기 제 2 마스크를 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 1 마스크를 이방성 에칭하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 5) 부기 1 내지 4 중 어느 1항에 기재된 반도체 장치의 제조 방법에 있어서,
상기 제 2 마스크 상에, 상기 제 2 마스크와는 에칭 특성이 다른 제 3 마스 크를 형성하는 공정과,
상기 배선 트렌치 형성 영역의 상기 제 3 마스크를 제거하는 공정을 더 갖고,
상기 배선 트렌치 형성 영역의 상기 제 2 마스크를 제거하는 공정에서는, 상기 제 3 마스크를 마스크로 하여, 상기 제 2 마스크를 이방성 에칭하는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 6) 부기 1 내지 5 중 어느 1항에 기재된 반도체 장치의 제조 방법에 있어서,
상기 제 1 마스크를 등방성 에칭하는 공정에서의 상기 제 1 마스크의 에칭량을 제어함으로써, 상기 비어홀의 상기 광폭부분의 형상을 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 7) 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크와, 상기 제 2 마스크와는 에칭 특성이 다른 제 3 마스크를 순차 형성하는 공정과,
비어홀 형성 영역의 상기 제 1 마스크, 상기 제 2 마스크 및 상기 제 3 마스크를 제거하는 공정과,
상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과,
상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 3 마스크를 제거하는 공정과,
상기 비어홀 형성 영역의 주변부에 상기 제 1 마스크의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 2 마스크가 잔존하도록, 상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크를 등방성 에칭하는 공정과,
상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크, 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에, 상부에 광폭부를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과,
상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 8) 부기 7 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 및 상기 배선 트렌치를 형성하는 공정은
상기 제 1 마스크에 대한 에칭 선택비가 작은 조건에서 상기 제 2 마스크를 이방성 에칭하고, 상기 제 1 마스크 상의 상기 제 2 마스크를 에칭하는 동시에, 상기 주변부의 상기 제 1 마스크를 선택적으로 에칭하는 공정과,
상기 절연막에 대한 에칭 선택비가 작은 조건에서 상기 제 1 마스크를 이방성 에칭하고, 상기 절연막 상의 상기 제 1 마스크를 에칭하는 동시에, 상기 주변부의 상기 절연막을 선택적으로 에칭하여 상기 광폭부를 형성하는 공정과,
상기 절연막을 더 이방성 에칭하고, 상기 비어홀을 상기 기판까지 파 내려가는 동시에 상기 배선 트렌치를 형성하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 9) 부기 7 또는 8 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 형성 영역의 상기 제 3 마스크, 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
상기 제 3 마스크 상에, 상기 비어홀 형성 영역을 노출하는 포토레지스트막을 형성하는 공정과,
상기 포토레지스트막을 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 3 마스크, 상기 제 2 마스크 및 상기 제 1 마스크를 이방성 에칭하는 공정을 갖고,
상기 비어홀 형성 영역의 상기 절연막을 이방성 에칭하는 공정에서는, 상기 포토레지스트막, 상기 제 3 마스크, 상기 제 2 마스크 및 상기 제 1 마스크를 마스크로 하여, 상기 절연막을 에칭하는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 10) 부기 7 또는 8 기재의 반도체 장치의 제조 방법에 있어서,
상기 비어홀 형성 영역의 상기 제 3 마스크, 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
상기 제 3 마스크 상에, 상기 비어홀 형성 영역을 노출하는 포토레지스트막을 형성하는 공정과,
상기 포토레지스트막을 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 3 마스크 및 상기 제 2 마스크를 이방성 에칭하는 공정과,
상기 포토레지스트막을 제거하는 공정과,
상기 제 3 마스크 및 상기 제 2 마스크를 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 1 마스크를 이방성 에칭하는 공정
을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 11) 부기 7 내지 10 중 어느 1항에 기재된 반도체 장치의 제조 방법에 있어서,
상기 제 2 마스크를 등방성 에칭하는 공정에서의 상기 제 2 마스크의 에칭량을 제어함으로써, 상기 비어홀의 상기 광폭부분의 형상을 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
(부기 12) 기판 상에 형성되고, 상기 기판에 달하는 비어홀과, 상기 비어홀의 상부에 연속하여 설치된 배선 트렌치가 형성된 절연막과, 상기 절연막의 상기 비어홀 및 상기 배선 트렌치에 매립되어 일체 형성된 배선층을 갖는 반도체 장치로서,
상기 비어홀은 상기 배선 트렌치측의 단부에 상기 기판측의 개구 직경보다도 넓은 광폭부를 갖는 것을 특징으로 하는 반도체 장치.
(부기 13) 부기 12 기재의 반도체 장치에 있어서,
상기 광폭부는 상기 배선 트렌치의 폭보다도 좁은 것을 특징으로 하는 반도체 장치.
본 발명에 의하면, 비어홀 형성 영역의 제 1 마스크 및 제 2 마스크를 제거하고, 비어홀 형성 영역의 절연막을 도중까지 이방성 에칭하고, 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 제 2 마스크를 제거하고, 제 2 마스크를 마스크로 하여 제 1 마스크를 등방성 에칭하고, 비어홀 형성 영역의 주변부에 절연막의 상면을 노출하는 동시에, 그 주변부를 제외한 배선 트렌치 형성 영역 내의 제 1 마스크를 도중까지 제거하고, 제 2 마스크를 마스크로 하여 제 1 마스크 및 절연막을 이방성 에칭함으로써, 절연막에 비어홀 및 배선 트렌치를 형성하므로, 배선 트렌치측에 광폭부분을 갖는 비어홀을 용이하게 형성 할 수 있다. 이에 따라, 비어홀 내에 배리어 메탈 및 Cu막을 용이하게 퇴적할 수 있고, 보이드 등의 매립 불량이 발생하는 것을 억제할 수 있다. 따라서, 배선의 신뢰성을 향상할 수 있다.

Claims (10)

  1. 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크를 순차 형성하는 공정과,
    비어홀 형성 영역의 상기 제 1 마스크 및 상기 제 2 마스크를 제거하는 공정과,
    상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과,
    상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 2 마스크를 제거하는 공정과,
    상기 비어홀 형성 영역의 주변부에 상기 절연막의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 1 마스크가 잔존하도록, 상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크를 등방성 에칭하는 공정과,
    상기 제 2 마스크를 마스크로 하여 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에, 상부에 광폭부(廣幅部)를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과,
    상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제 1항에 있어서,
    상기 비어홀 및 상기 배선 트렌치를 형성하는 공정은
    상기 절연막에 대한 에칭 선택비가 작은 조건에서 상기 제 1 마스크를 이방성 에칭하고, 상기 절연막 상의 상기 제 1 마스크를 에칭하는 동시에, 상기 주변부의 상기 절연막을 선택적으로 에칭하여 상기 광폭부를 형성하는 공정과,
    상기 절연막에 이방성 에칭을 더 하여, 상기 비어홀을 상기 기판까지 파 내려가는 동시에 상기 배선 트렌치를 형성하는 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제 1항 또는 제 2항에 있어서,
    상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
    상기 제 2 마스크 상에 상기 비어홀 형성 영역을 노출하는 포토레지스트 막을 형성하는 공정과,
    상기 포토레지스트 막을 마스크로 하여, 상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 이방성 에칭하는 공정을 갖고,
    상기 비어홀 형성 영역의 상기 절연막을 이방성 에칭하는 공정에서는 상기 포토레지스트 막, 상기 제 2 마스크 및 상기 제 1 마스크를 마스크로 하여, 상기 절연막을 에칭하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제 1항 또는 제 2항에 있어서,
    상기 비어홀 형성 영역의 상기 제 2 마스크 및 상기 제 1 마스크를 제거하는 공정은
    상기 제 2 마스크 상에 상기 비어홀 형성 영역을 노출하는 포토레지스트 막을 형성하는 공정과,
    상기 포토레지스트 막을 마스크로 하여 상기 비어홀 형성 영역의 상기 제 2 마스크를 이방성 에칭하는 공정과,
    상기 포토레지스트 막을 제거하는 공정과,
    상기 제 2 마스크를 마스크로 하여 상기 비어홀 형성 영역의 상기 제 1 마스크를 이방성 에칭하는 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제 1항 또는 제 2항에 있어서,
    상기 제 2 마스크 상에 상기 제 2 마스크와는 에칭 특성이 다른 제 3 마스크를 형성하는 공정과,
    상기 배선 트렌치 형성 영역의 상기 제 3 마스크를 제거하는 공정을 더 갖고,
    상기 배선 트렌치 형성 영역의 상기 제 2 마스크를 제거하는 공정에서는 상기 제 3 마스크를 마스크로 하여, 상기 제 2 마스크를 이방성 에칭하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제 1항 또는 제 2항에 있어서,
    상기 제 1 마스크를 등방성 에칭하는 공정에서의 상기 제 1 마스크의 에칭량을 제어함으로써, 상기 비어홀의 상기 광폭부분의 형상을 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 기판 상에 절연막과, 제 1 마스크와, 상기 제 1 마스크와는 에칭 특성이 다른 제 2 마스크와, 상기 제 2 마스크와는 에칭 특성이 다른 제 3 마스크를 순차 형성하는 공정과,
    비어홀 형성 영역의 상기 제 1 마스크, 상기 제 2 마스크 및 상기 제 3 마스크를 제거하는 공정과,
    상기 비어홀 형성 영역의 상기 절연막을 도중까지 이방성 에칭하는 공정과,
    상기 비어홀 형성 영역을 포함하는 배선 트렌치 형성 영역의 상기 제 3 마스크를 제거하는 공정과,
    상기 비어홀 형성 영역의 주변부에 상기 제 1 마스크의 상면이 노출하고, 상기 주변부를 제외한 상기 배선 트렌치 형성 영역 내의 상기 제 2 마스크가 잔존하도록, 상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크를 등방성 에칭하는 공정과,
    상기 제 3 마스크를 마스크로 하여 상기 제 2 마스크, 상기 제 1 마스크 및 상기 절연막을 이방성 에칭하고, 상기 절연막에 상부에 광폭부를 갖는 비어홀과, 상기 비어홀의 상기 광폭부에 접속된 배선 트렌치를 형성하는 공정과,
    상기 비어홀 내 및 상기 배선 트렌치 내에 배선층을 매립하는 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제 7항에 있어서,
    상기 비어홀 및 상기 배선 트렌치를 형성하는 공정은
    상기 제 1 마스크에 대한 에칭 선택비가 작은 조건에서 상기 제 2 마스크를 이방성 에칭하고, 상기 제 1 마스크 상의 상기 제 2 마스크를 에칭하는 동시에, 상기 주변부의 상기 제 1 마스크를 선택적으로 에칭하는 공정과,
    상기 절연막에 대한 에칭 선택비가 작은 조건에서 상기 제 1 마스크를 이방성 에칭하고, 상기 절연막 상의 상기 제 1 마스크를 에칭하는 동시에, 상기 주변부의 상기 절연막을 선택적으로 에칭하여 상기 광폭부를 형성하는 공정과,
    상기 절연막에 이방성 에칭을 더 하여, 상기 비어홀을 상기 기판까지 파 내려가는 동시에 상기 배선 트렌치를 형성하는 공정
    을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제 7항 또는 제 8항에 있어서,
    상기 제 2 마스크를 등방성 에칭하는 공정에서의 상기 제 2 마스크의 에칭량을 제어함으로써, 상기 비어홀의 상기 광폭부분의 형상을 제어하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 삭제
KR1020050081868A 2005-05-30 2005-09-02 반도체 장치의 제조 방법 KR100692471B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00157018 2005-05-30
JP2005157018A JP4476171B2 (ja) 2005-05-30 2005-05-30 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20060124531A KR20060124531A (ko) 2006-12-05
KR100692471B1 true KR100692471B1 (ko) 2007-03-09

Family

ID=37464014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050081868A KR100692471B1 (ko) 2005-05-30 2005-09-02 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (1) US7452795B2 (ko)
JP (1) JP4476171B2 (ko)
KR (1) KR100692471B1 (ko)
CN (1) CN100442471C (ko)
TW (1) TWI290356B (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
JP2007287304A (ja) * 2006-03-24 2007-11-01 Tdk Corp 微小幅のパターン膜の形成方法及び微小幅の磁極層を備えた薄膜磁気ヘッド
US7615484B2 (en) * 2007-04-24 2009-11-10 Chartered Semiconductor Manufacturing Ltd. Integrated circuit manufacturing method using hard mask
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US8221636B2 (en) * 2008-05-12 2012-07-17 Headway Technologies, Inc. Method of manufacturing magnetic head for perpendicular magnetic recording
DE102008063430B4 (de) * 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
US8592304B2 (en) * 2010-04-08 2013-11-26 United Microelectronics Corp. Method for filling metal
CN102299096B (zh) * 2010-06-22 2017-08-01 中国科学院微电子研究所 半导体器件的接触的制造方法及具有该接触的半导体器件
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US8859418B2 (en) * 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
CN103531526B (zh) * 2012-07-03 2015-10-14 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9064850B2 (en) 2012-11-15 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation with improved topography control
KR102447671B1 (ko) * 2016-02-17 2022-09-27 삼성전자주식회사 배선 구조물 형성 방법 및 반도체 장치의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020079332A (ko) * 2001-04-13 2002-10-19 후지쯔 가부시끼가이샤 반도체 장치와 그 제조 방법
KR20030054795A (ko) * 2001-12-26 2003-07-02 삼성에스디아이 주식회사 평판표시장치 및 그 제조방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218209A (ja) 1992-02-05 1993-08-27 Nec Corp 半導体装置及びその製造方法
US5453403A (en) 1994-10-24 1995-09-26 Chartered Semiconductor Manufacturing Pte, Ltd. Method of beveled contact opening formation
US5759911A (en) * 1995-08-22 1998-06-02 International Business Machines Corporation Self-aligned metallurgy
KR100265771B1 (ko) * 1998-07-09 2000-10-02 윤종용 감광성 폴리머를 사용하는 듀얼 다마신 공정에 의한 금속 배선형성방법
JP2000294640A (ja) * 1999-04-09 2000-10-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2001168188A (ja) 1999-12-06 2001-06-22 Sony Corp 半導体装置の製造方法
JP4377040B2 (ja) 2000-07-24 2009-12-02 Necエレクトロニクス株式会社 半導体の製造方法
US7078348B1 (en) * 2001-06-27 2006-07-18 Advanced Micro Devices, Inc. Dual layer patterning scheme to make dual damascene
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6764903B1 (en) * 2003-04-30 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Dual hard mask layer patterning method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020079332A (ko) * 2001-04-13 2002-10-19 후지쯔 가부시끼가이샤 반도체 장치와 그 제조 방법
KR20030054795A (ko) * 2001-12-26 2003-07-02 삼성에스디아이 주식회사 평판표시장치 및 그 제조방법

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1020020079332 *
1020030054795 *

Also Published As

Publication number Publication date
JP4476171B2 (ja) 2010-06-09
CN100442471C (zh) 2008-12-10
US20060270214A1 (en) 2006-11-30
CN1873944A (zh) 2006-12-06
US7452795B2 (en) 2008-11-18
JP2006332503A (ja) 2006-12-07
KR20060124531A (ko) 2006-12-05
TWI290356B (en) 2007-11-21
TW200642035A (en) 2006-12-01

Similar Documents

Publication Publication Date Title
KR100692471B1 (ko) 반도체 장치의 제조 방법
US7473635B2 (en) Method for manufacturing semiconductor device
US6599830B2 (en) Semiconductor device and manufacturing method thereof
KR100810788B1 (ko) 듀얼 다마신 구조의 에칭 방법
TWI386978B (zh) 半導體裝置之製造方法
JP3778174B2 (ja) 半導体装置及びその製造方法
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
KR20050027133A (ko) 반도체장치의 제조방법
JP4293752B2 (ja) 半導体装置の製造方法
US7772112B2 (en) Method of manufacturing a semiconductor device
JP2006253645A (ja) 半導体装置の製造方法および半導体装置
TW486755B (en) Semiconductor device and manufacturing method of the device
US6284642B1 (en) Integrated method of damascene and borderless via process
JP2000188330A (ja) デュアルダマシン配線の形成方法
JP5201326B2 (ja) 多層配線の製造方法
JP4278497B2 (ja) 半導体装置の製造方法
JP3183238B2 (ja) 半導体装置の製造方法
JP4034115B2 (ja) 半導体装置の製造方法
KR100698101B1 (ko) 반도체 소자의 텅스텐 플러그의 구조 및 그 형성방법
JP3586190B2 (ja) 半導体装置およびその製造方法
JP2003086679A (ja) 集積回路装置およびその製造方法
KR20030002119A (ko) 듀얼 다마신 공정에 의한 비아홀 형성 방법
JP2005217223A (ja) 半導体装置の製造方法
JP2009088013A (ja) 半導体装置の製造方法
KR20030049845A (ko) 질화막을 구비한 마스크 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160218

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170220

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 14