TW407304B - Mixed frequency CVD process and apparatus - Google Patents
Mixed frequency CVD process and apparatus Download PDFInfo
- Publication number
- TW407304B TW407304B TW087119769A TW87119769A TW407304B TW 407304 B TW407304 B TW 407304B TW 087119769 A TW087119769 A TW 087119769A TW 87119769 A TW87119769 A TW 87119769A TW 407304 B TW407304 B TW 407304B
- Authority
- TW
- Taiwan
- Prior art keywords
- frequency
- low
- substrate
- power
- page
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 149
- 230000008569 process Effects 0.000 title abstract description 121
- 238000006243 chemical reaction Methods 0.000 claims abstract description 165
- 239000007789 gas Substances 0.000 claims abstract description 154
- 239000000758 substrate Substances 0.000 claims abstract description 121
- 230000008021 deposition Effects 0.000 claims abstract description 31
- 238000012545 processing Methods 0.000 claims abstract description 19
- 239000010408 film Substances 0.000 claims description 105
- 238000009826 distribution Methods 0.000 claims description 53
- 238000000151 deposition Methods 0.000 claims description 42
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 34
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 33
- 238000011049 filling Methods 0.000 claims description 30
- 238000010849 ion bombardment Methods 0.000 claims description 18
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- 239000010409 thin film Substances 0.000 claims description 16
- 230000002079 cooperative effect Effects 0.000 claims description 14
- 229910021529 ammonia Inorganic materials 0.000 claims description 12
- 230000015572 biosynthetic process Effects 0.000 claims description 7
- 229910010293 ceramic material Inorganic materials 0.000 claims description 6
- 230000009467 reduction Effects 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 abstract description 45
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 abstract description 44
- 239000000919 ceramic Substances 0.000 abstract description 36
- 235000012431 wafers Nutrition 0.000 description 38
- 150000002500 ions Chemical class 0.000 description 29
- 239000007788 liquid Substances 0.000 description 26
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 17
- 238000004519 manufacturing process Methods 0.000 description 16
- 230000000694 effects Effects 0.000 description 14
- 238000007726 management method Methods 0.000 description 13
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 238000005516 engineering process Methods 0.000 description 10
- 230000001965 increasing effect Effects 0.000 description 10
- 239000012495 reaction gas Substances 0.000 description 10
- 238000010891 electric arc Methods 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 230000001276 controlling effect Effects 0.000 description 8
- 238000005187 foaming Methods 0.000 description 8
- 239000003990 capacitor Substances 0.000 description 7
- 238000004590 computer program Methods 0.000 description 7
- 238000000354 decomposition reaction Methods 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 238000009434 installation Methods 0.000 description 7
- 238000002156 mixing Methods 0.000 description 7
- 238000012544 monitoring process Methods 0.000 description 7
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 238000005530 etching Methods 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 230000000052 comparative effect Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 230000006872 improvement Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- 239000000523 sample Substances 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- 230000018109 developmental process Effects 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 4
- 230000004044 response Effects 0.000 description 4
- 238000000926 separation method Methods 0.000 description 4
- 239000005368 silicate glass Substances 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000007740 vapor deposition Methods 0.000 description 4
- 229910001297 Zn alloy Inorganic materials 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- TVZPLCNGKSPOJA-UHFFFAOYSA-N copper zinc Chemical compound [Cu].[Zn] TVZPLCNGKSPOJA-UHFFFAOYSA-N 0.000 description 3
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- -1 hafnium nitride Chemical class 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 235000015170 shellfish Nutrition 0.000 description 3
- 229910000077 silane Inorganic materials 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 230000000875 corresponding effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 238000002309 gasification Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000012856 packing Methods 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000007639 printing Methods 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 229910052702 rhenium Inorganic materials 0.000 description 2
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 235000013599 spices Nutrition 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 240000001546 Byrsonima crassifolia Species 0.000 description 1
- 235000003197 Byrsonima crassifolia Nutrition 0.000 description 1
- 244000025254 Cannabis sativa Species 0.000 description 1
- 235000012766 Cannabis sativa ssp. sativa var. sativa Nutrition 0.000 description 1
- 235000012765 Cannabis sativa ssp. sativa var. spontanea Nutrition 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- HIVGXUNKSAJJDN-UHFFFAOYSA-N [Si].[P] Chemical compound [Si].[P] HIVGXUNKSAJJDN-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000001174 ascending effect Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 235000009120 camo Nutrition 0.000 description 1
- 235000005607 chanvre indien Nutrition 0.000 description 1
- 239000012295 chemical reaction liquid Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 210000004513 dentition Anatomy 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 239000012636 effector Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 238000007429 general method Methods 0.000 description 1
- 238000005247 gettering Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 239000011487 hemp Substances 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000013178 mathematical model Methods 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000008267 milk Substances 0.000 description 1
- 210000004080 milk Anatomy 0.000 description 1
- 235000013336 milk Nutrition 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 230000010355 oscillation Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000005381 potential energy Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000005546 reactive sputtering Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 238000005475 siliconizing Methods 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 230000036346 tooth eruption Effects 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 239000002023 wood Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/517—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Description
經濟部中央標準局貝工消f合作社印製 ^〇73G4 a7 B7 ~— - 五、發明説明() 發明領域: 本發明與一種在反應室中以仆與 Λ化學氣相沉積法 (chemical vapor deposition ; CVD)的積體電路製程有關, 特別是與一種可同時於低溫(例如約為攝氏4〇〇度)與高溫 (例如約高於為攝氏580度)製程中形成高品質之化學氣相 沉積溥膜(C V D Π1 m)的方法與裝置有關。 發明背景: 本發明特別適用於沉積正矽酸乙酯類(TE〇s_based)& 及矽烷類(silance-based)的化學物質,其包含以pECVD以 及SACVD所沉積的二氧化矽(siiic〇n 〇xide)、氮化矽 (silicon nitride)、氮氧化矽(silicon oxynUride)' 非晶矽 (amorphous silicon)以及已摻雜的氧化矽物(doped siHc〇n oxide) ’ 例如硼磷矽酸鹽玻璃(b〇ron ph〇Sph〇rus silicate glass)、f粦矽酸鹽玻璃(ph〇Sph〇rus siHcate g丨ass)以及摻雜 鼠的砂酸鹽玻璃(fluorine_doped silicate glass)等等。本發 明亦可用於沉積其他化學物質。 現行製造半導體的主要步驟之一係:以氣體的化學反 應形成薄膜於半導體基板上,此沉積方法係指化學氣相沉 積法或CVD。傳統的熱化學氣相沉積法係通入反應性氣體 至基板表面’此基板表面產生熱感應化學反應而形成薄 膜。 於基板上沉積薄膜的其他方法尚包含電漿增強化學 氣相沉積法(plasma enhance(1 CVD ; PECVD),電漿增強化 __ 第4頁 本紙張尺度適;)職格以加公们一 — I I ^ ^ ~"裝 III ~~、一=口 备. (請先閱讀背面之注意事項再球爲本頁) 407304五、發明説明() A7 B7 經濟部中央標隼局貝工消費合作社印製 學氣相沉積法係藉由基板附近之反應區的射頻(radio frequency ; RF)能量激勵(excitation)或提昇反應性氣體的 分解,電漿中的高反應性物質降低產生化學反應所需的能 量,因此可降至較傳統熱化學氣相沉積法為低的溫度, PEC VD較低的製程溫度有助於降低半導體廠製造積體電 路時的熱堆積(thermal budget)。 半導體元件的幾何尺寸已經戲劇般降低許多,因為這 些元件是幾十年前發明的。自此之後’積體電路一般都遵 循每8個月減少一半尺寸的定律(通常稱為”Moore定律 ")’亦即晶片中元件的數目每8個月即成四倍速成長。今 曰的晶圓戚正在製作最小線寬0.5微米甚至〇. 2 5微米的積 體電路’而且明日的晶圓麻很快便可製作出更小尺寸的元 件。 尺寸的減小使得先進技術可部份用在半導體製程設 備上,例如用於PECVD製程的基板處理反應室,這些先 進技術包含在某些CVD沉積系統的設計或製造中,反映 出製程設備的優點,同時其他不同階段的發展很快便可用 於明日的製程設備。 通常用於製程設備上的先進技術包含混頻PECVD,其 同時使用高頻以及低頻之射頻能量以產生電漿並促進基 板的離子轟擊。一種混頻PEC VD係將高頻以及低頻射頻 能量耦合至作為第一電極的金屬材質的氣體分佈歧管 (manifold)。這個方法中,當低頻的射頻能量促進基板(置 於作為弟二電極的接地基板托架上)的離子森擊時,高頻 第5頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝- 經濟部中央標準局員工消費合作社印製 —--— ^^7304 B7__ 五、發明説明() 的射頻能量是分解反應性氣體的主要機制。另一種混頻方 式係將高頻以及低頻的射頻能量分別耦合至氣體分佈歧 管(第一電極)以及基板托架(第二電極 另一種用於某些現行PE(:VD沉積反應室的先進技術 包含.於氣體分佈歧管中使用錐形孔洞,以增加通入反應 A之反應性氣體的分解。更詳細的說明請參見專利號數
4,854,263 ’ 名稱為"INLET MANIFOLD AND METHODS FOR INCREASING GAS DISSOCIATION AND FOR PECVD OF DIELECTRIC FILMS”的美國專利,其共同發明人為: Mei Chang、David Wang、John White 以及 Dan Maydan。 此篇專利為"應用材料"(A p p 1 i e cl M a t e r i a 1 s)所有,在此作為 一共同參考文獻。 另一個比上述更新的先進技術是:於CVD反應室中 使用陶免材料’使得反應器(r e a c t 〇 r)可操作於高溫下。此 特別設計用於高溫中操作,且具有一陶瓷加熱器組件的 CVD反應室,其詳述見於0 8/800,896號美國專利申請案。 上述的先進技術並非漫無限制,例如:當混頻PECVD 技術已證實有助於應用上的多變性時,同時使用高頻與低 頻波形時,必須加以控制以避免會導致高電壓及氣體分佈 歧管電弧放電(arcing)的干擾。電弧放電經證實可能是當 高頻電壓的強度增加時,氣體分佈歧管孔洞的輝光 (glow)、以及沉積速率降低所造成的。一般避免輝光的方 法如下所述:維持真空反應室中的壓力高於最低限度(de minimis),操作時設定低頻射頻功率低於總射頻功率的百 第6頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210 X 297公1 ) -------:----装------1T------線 (請先閱讀背面之注意事項再填寫本頁) A7 ^07304 B*7 五、發明説明() 〜 分之三十,或是降低總射頻功率。 在過去’冒有人進行使用錐形孔洞於混頻PECVD反 應室中的實驗,而此混頻PECVD反應室的高頻以及低頻 電源皆連結於氣體分佈歧管。這些實驗發現電弧放電的問 題確實會干擾薄膜沉積,因此,本發明人所知的混頻 PECVD系統在氣體分佈歧管中都是使用平直的孔洞(而非 錐形)。 而且,發展兼具錐形孔洞與混頻PECVD優點的基板 沉積反應室技術是吾人所想要的。 發明目及概述: 本發明提供一種改良的方法與裝置,用以沉積CVD 薄膜於基板上。本裝置使用混頻之射頻電源,且包含一具 有錐形孔洞之氣體分佈歧管。藉由連結低頻射頻電源至嵌 於基板托架中的電極,以及連結高頻射頻電源至氣體分伸 歧管(通常作為電極)而大大地降低電弧放電的可能性。獨 互的匹配電路(matching network)將低頻波形與高頻波形 中分離’以減少兩者之間的相位干擾。 這些特徵使沉積過程可進行於先前的基板反應室無 法達到的狀況下’且使本發明的基板處理装置適用於次 〇·35微米製程(亦包含〇·25微米製程以及〇 18微米製程)(> 本發明之實施例中的基板製程系統包含:—嵌有射頻 電極的陶瓷基板托架以及與基板托架分隔的氣體分佈歧 管。此氣體分佈歧管經由多個錐形孔洞,通入一種或多種 ^ : : ^ —裝 I I I i I 線 (請先閱讀背面之注意事項再填寫本頁j 經濟部中央標準局貝工消費合作社印製 木紙張尺度適用中_家辟(CNS ) M規格 第7頁 (210X297公釐) 經濟部中央標準局員工消費合作社印製 --___ 五、發明説明() ~~ 反應性氣體至基板製程系統内的基板反應室反應區;此氣 體分佈歧管亦作為第二射頻電極。每個錐形孔洞均有一開 口朝向反應區的出氣口(outlet),以及遠離出氣口的進氣口 (inlet),且進氣口的直徑小於排氣口。一具有混頻的射頻 電源供應器連結於此基板製程系統,高頻的射頻電源連結 於進氣歧管(gas inlet manifold)且低頻的射頻電源連結於 基板托架電極。一射頻濾波及匹配電路將高頻波形與低頻 波开;?中刀離。這種配置提供一放大的pr〇eess regime,以 及提供習知技術中沉積薄膜(包含氮化矽薄膜)無法達到的 特性。 本發明之一較佳實施例係沉積氮化矽(silic〇n nhride) 薄膜。包含碎fe (silane)、氨氣(ammonia)以及氮氣分子 (molecular nitrogen)的反應性氣體,經由一具有錐形孔洞 之氣體進氣歧管導入’然後混頻射頻電源將反應性氣體轉 換為電漿。當低頻(LF)的分量施加於底部電極時,高頻(Hf) 的分量則施加於氣體分佈歧管。本實施例顯示:低温製程 下沉積的氣化夕薄膜,當長寬比(aspect ratio)達2 : 1式 A更 高,且階梯覆蓋(step coverage)特性良好時,其漏钱刻率 (wet etch rate; WER)約為170埃/分鐘。本實施例亦顯示. 南溫製程(高於攝氏580度)下沉積的氮^匕碎薄膜,其減蚀 刻率約為1 5埃/分鐘或更低。 這些具有良好物理特性的氮化矽薄膜是部份< ^ J件 的,因為此氮化矽薄膜可沉積於其他反應室中不可能達, 到 的壓力以及射頻功率。例如在一更佳實施例中,當低頻/ 第8頁 本紙張尺度適用中國國家標準(CNS ) Λ4現格(2丨〇>< 297公釐) ~ : - 訂 — I 矣 (請先閱讀背面之注意事項再填寫本頁) ^07304
五、發明説明( /r 經濟部中央標準局員工消費合作社印製 總功率比大於鄕時,A氮化,夕的沉積連續發生於之至5 托耳(T。⑴的壓力了’這個物理特性部份是靠錐形孔洞所 達成的’此錐形孔洞依序增加雷喈宓庳、, 厅61加電I达度以及電漿的離子化 效率(ionization effieiency) ’使得氮氣的量相較於氨氣 (NH3)為多’而減少的氨氣(NH3)成份使薄膜中氫的成份減 少以及獲得較低的濕、蚀刻率(W E R)。 另一實施例,以雙極性低頻不對稱射頻波形(指三角波 或据齒波)控制基板的離子轟擊。此不對稱射頻波形在消 除諧波(harmonic)形成的同時,可增強離子在基板處的轟 擊,本發明人發現此諧波會導致電漿鞘(sheath)的不穩定 性。 本發明之再一實施例,一基板製程系統,包括:一具 有反應區的沉積反應室;一基板托架,於製程中支撐基 板;電漿電源’用以形成電漿於反應區内;以及阻抗調整 系統。此電漿具有第一阻值’其可經阻抗調整系統調整後 成為弟一阻值,此調整動作可作為一附加的”控制旋紐 "(knob of control),用以提供製程工程師另一改變以及調 整反應區内沉積薄膜的方法。更好的說法是此阻抗調整系 統包含一可變電容。 仍為本發明的再一實施例:一具有沉積反應室的基板 製程系統,其沉積反應室更包括:一反應區;一處理基板 時,於反應區内支撐基板的基板托架;一氣體分佈系統, 用以供給反應性氣體至反應區;一位於反應區内的電漿電 源’用以將反應性氣體轉換成電漿;以及一電性耦合於沉 第9頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 丨一* --.----裝------訂------線 (請先閱讀背面之注意事項再填寫本頁} 經濟部中央標準局員工消費合作社印製 #7304 五、發明説明() 積反應室的阻抗監測器,用以量測電漿的阻值。此基板製 電衆量測阻值以作為其輸入值。此電腦處理器可連結至基 板處理反應莖的不同系統,例如:氣體分佈系統、壓力控 制系統以及或是射頻產生器(RF generator),並且可根據量 測的電漿阻值調整製程條件。例如,可於延伸晶圓製程(例 如2000 wafer run)的末段進行調整,其反應室體的量測阻 值會隨著製程變動。在這個例子中,當基板處理反應室的 阻值跑出預設範圍外時,該電腦處理器可調整製程條件。 '周整的動作包含:碉整反應室體壓力、溫度 '電漿功率(例 如射頻功率)或是類似的製程變數。而且若此基板處理系 統具有阻抗凋整系統’則調整的動作包含直接調整反應室 體的阻抗。 本發明的實施例以及其優點和特徵伴隨下列圖示做 一更詳細的描述。 圖式簡單說明: 第1圖係本發明之沉積系統的方塊圖,包含-簡化之沉積 反應室的剖面圖。 第2圖係本發明中黏附於支 .的太廿 人神彳干stem乙陶瓷基板座 (pedestal)的剖面圖。 第3圖係用於金屬/陶免連結裝置之陶党基板托 結構圖’具有-嵌入式的射頻電極。 第4A圖以及第4B圖係本發明中氣體分佈岐管内之錐形孔 ---1--:---— I------^------^ (請先閱讀背面之注意事項再填寫本頁)
A7 B7 ^07304 五、發明説明( 洞的剖面圖。 第5圖係本發明中射锢、,南、士哭 _ 咐頻濾波器以及匹配電路之簡化方塊 圖’用以在本發日PI沾举苗 龄月的裝置内分離低頻與高頻射頻波 形。 第6圖係使用者與控制π锫g„ 削'儿積糸統又處理器的界面。 第7圖係第1圖中用於CVD册她 r» »» *丨 於L V U见漿反應器 < 製程控制電腦 程式的流程_。 第8Α至8D圖係顯示沉積氮化砂時,製程壓力對反應器阻 抗的影響。 第9圖係顯示製程壓力對濕Μ刻率及氮化⑦沉積速率 的貫驗結果。 第1 Ο Α至1 〇 D圖係顯示低頻雷源> 瓜现电'原 < 頻率對氮化矽沉積的影 響。 第η圖係顯示於反應室的SPICE模擬中,用以表示反應 室3 0之電路模型。 第1 2 A與1 2 B圖係比較加教器雷宜—县·B,丨 干又π…、裔电合之量測值與模擬值對相 角以及反應器阻抗的影響。 第13圖係說明在本發明中側壁階梯覆蓋、底部階梯覆蓋 以及均勻率(conformality)之定義。 第14圖係顯示加熱器電容值對薄膜應力的影響。 第15圖係顯示電極電壓與低頻功率/總功率比值的函數關 係。 第1 6圖係顯示在沉積氮化矽薄膜的過程中,濕蝕刻率與 薄膜應力’以及低頻功率/總功率比值的函數關係 第11頁 ---.---Μ----^------,玎------^ (請先閲讀背面之洼意事項再填商本頁) 經濟部中央標準局員工消費合作社印聚 卜紙張尺度適用中國囤家標準(〔^5)八杉見格(210乂297公釐 A7 ^07304 B7 五、發明説明() 第1 7A至1 7D圖係說明在沉積氮化矽薄膜的過程中,用以 控制離子轟擊之不同的低頻射頻波形。 (請先閲讀背面之注意事項再峭寫本頁) 第1 8圖係顯示本發明中用於脈衝電漿沉積製程之高頻射 頻波形。 圖號對照說明: 5 射頻電源供應器 6 熱交換系統 10 CVD 系統 12 高 頻 射 頻 電 源 13 阻 抗 匹配單 元 14 南 通 滤 波 器 15 低 頻 接地端 16 低 通 濾 波 器 17 低 頻 射頻觉 源 18 低 通 滤 波 器 19 1¾ 頻 接地端 20 南 通 濾 波 器 22 射 頻 電極 25 鎳 桿 26 陶 瓷 支柱 27 觀 察 孔 28 鋁 轉 軸 29 螺 紋 30 反 應 室 32 陶 瓷 基 板 座 33 加 *,*、 元件 34 晶 圓 袋 36 晶 圓 38 舉 昇 柱 40 喷 氣 頭 44 中 央 進 氣 口 45 氣 體 饋口蓋 板 48 第 一 碟 型 間 隙 52 擋 板 (baffle plate) 5 1 凹 曲 線 剖 面 53 反 應 室壁線 形組件 54 第 二 碟 型 間 隙 56 狹 縫 閥 58 反 應 區 60 抽 氣 通道 64 環 形 隔 板 經濟部中央標準局員工消費合作社印製 第12頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨Ο X 297公釐) 經濟部中央標準局員工消費合作社印製 407304 A7 B7 發明説明() 74 排氣孔 76 抽氣增壓間 78 排氣閥門 79 熱交換通道 80 通氣孔 82 t而封 兴丄%浦 83 節流閥 85 處理器 86 記憶體 88 真空系統 89 氣體輸送裝置 90 乳體配送盤^ 9 1 Α- C 氣體源 92A-C 氣體管路 93a 監視器 93b 光筆 108 阻抗調整器 110 阻抗探針 111 A 連線 1 1 1B 連線 1 12A 輸入端 11 2B 輸又端 134 負緣 160 電腦程式 161 製程選擇子程式 1 62 製程順序子 163a-c 反應室體管理子程式 164 基板位置子程式 165 反應氣體控 166 壓力控制子程式 167 加熱器控制 168 電漿控制子程式 發明詳細說明: 程式 I · C V D系統1 ο的硬體部份 清參閲第1圖,一 CVD系統1〇包含一反應室3〇、一 真2系統8 8、一氣體輸送裝置8 9、一射頻電源供應器5、 一熱X換系統6、一陶瓷基板座(pedestal)32以及一位於其 他主要元件間的處理器8 5。本發明特別值得討論的是氣體 第13頁 ------^---MJ--裝------訂------線 (請先閲讀背面之注意事項再填寫本頁) 407304 經濟部中央標準局員工消費合作社印製 五、發明説明() 分佈歧管(亦指進氣歧管及”喷氣頭”sh〇werhead)40的組 態,此氣體分佈歧管40將氣體傳送裝置89傳來的反應性 氣體導引至反應室3 0的反應區5 8 ;以及射頻電源供應器 5與氣體分佈歧管40以及嵌有電極的陶瓷基板座32之連 結組態。因此將首先討論這些元件’然後再討論本發明之 C V D系統1 0的其他元件。 A.氣體傳送裝置89 請參閱第1圖,氣體傳送裝置89經由氣體管路92A_ C傳送氣體至反應室30。氣體傳送裝置89包含氣體配送 盤(p a n e 1) 9 0以及氣體(液體或氣體)源 9 1 A - C (若有需要可 再追加氣體源),此氣體(液體或氣體)源9 1 A-C包含& ^ (例如SiH4或氮氣NO或液體(例如TEOS),或固體端視製 程需要而定,一般來說,每一反應性氣體的補給管路均包 含一可自動或手動阻斷反應性氣艘流動的阻斷閥門(sliut_ off valve),以及可量測流經補給管路之氣體(或液體流量) 的流量控制器(mass flow controller ; MFC)。反應性氣灿 以及載氣(例如SiH4、氦氣He、氮氣N2或其他)的比例, 以及通入反應室的摻雜物或反應物受控於溫# (temperature-based)的液體或氣體流量控制器(未顯示)與 閥門(未顯示當然’必須承認的是其他化合物也可用於 >儿積或作為潔淨源。另一實施例,通入反應室3 0 > C; + 、及應 性氣體/載氣比例受控於一壓控固定孔洞或可變孔洞。當製 私中使用有毒氣體(例如臭氧〇Z〇ne或是鹵化氣體 ______第Η頁 ^紙張尺度適用中國阁家標卒(CNS ) Λ4規格^210X297公1 ) ------ (請先閱讀背面之注意事項再垆寫本頁) .裝- 、1· 線 407304 A7 B7 五、發明説明() ^ ha丨ogenated gas)時,這數個阻斷間门在傳統的組能各中是 位於每一氣體管路中。 & 氣體配达盤(panel)9〇具有—從氣體源91α<接收反 應性氣體以及載氣(或汽化液體)之混合系統,將其混合後 經由氣體管路92 A-C傳送至氣體饋口蓋板45中的中央進 氣口 44(仍有其他氣體管路’但未顯示)。在這個特定的實 施例當中’該混合系統、該混合系統的輸入歧管以及該混 合系統的輸出歧管(從混合系統延伸至中央進氣口 44)皆 是由鎳(nickle)或其他材質(例如含鎳的鋁)所製成。 當使用液體源時,如同熟悉該項技藝者所知,將液體 源通入至反…"許多不同的方式,其中的一種方式 是侷限且加熱瓶中㈣pule)的液體,藉由汽化壓力提供穩 ,泥量的汽化源。另-種通人的方式是先使載汽(例如氣 氣)成發泡狀。還有另一種方式係使用液體注入系統,此 液體注入系統將液體蒸發使其進入載氣蒸氣中。一較佳的 液體注入系統’其相較於發泡式液體源切e _㈣ 可提供較大量的反應液體。 Β·具有錐形孔洞42的氣體分佈歧管4〇 反應性氣體經由氣體饋口蓋板 0干的中央進氣口 44 至罘一碟型間隙48間的路徑,讦λ s &丄,. '王入至反應室30 ,然後再 ‘呈由擋板(baffle plate)52中的通道(·去 (未顯示)至第二碟型間 隙54間的路徑,傳輸至噴氣頭 此噴氣頭40有很多個 用以》王入反應氣體至反應區5 8的孔 日J礼洞或通道42。 本紙張尺度"緖準(GNS) Λ4· (2ωχ297公瓦 ^ J—辦衣— (請先間讀背面之注意事項再矽寫本頁)
*1T 線 經濟部中央標準局員工消費合作社印聚 第15頁 經濟部中央標準局員工消費合作社印製 術304 A7 _ _B7___ 五、發明説明() 每一孔洞42均為第4A圖顯示的"錐形孔洞",其描述 見於先前作為參考文獻之美國專利(編號4,854,263)。第 4A圖係表示喷氣頭40内其中一個錐形孔洞的較佳結構 圖’每一錐形孔洞具有一面向基板的錐形區段5 0,錐形孔 洞的尺寸可促進流經喷氣頭40的層流(laminar flow)。錐 形區段5 0可改善反應氣體的分解率,進而增加電漿密度 以及離子化效率(ionization efficiency)。當氣體不像氮氣 般容易分解時,此改善的反應氣體分解率特別有用。 "錐形孔洞"是指設計用來增進導入至反應區5 8之氣 體分解率以及活性(reactivity)的任何孔洞,其出氣端 (〇 u 11 e t)的直徑大於進氣端(i η 1 e t)的直徑,因此垂直剖面為 錐形的形狀均包含於此處所用的"錐形孔洞"内。另一個錐 形孔洞的實施例顯示於第4B圖,圖中的孔洞具有凹曲線 (coneave)刦面5 1,亦可使用剖面具有凹曲線、拋物線 '雙 曲線(hyperbolic)、砵形(bowl-shaped)或半;}隋圓形(semi-e 11 i p s 〇 i d a 1)的其他孔洞。 回到第1圖,反應氣體由錐形孔洞42注入至反應區 5 8 (介於淋氣頂板與基板座之間),以便於晶圓3 6的上表面 產生反應,然後反應氣體的副產物(byproducts)越過晶圓 36的邊緣以及一限流環(flow restrictor ring)46,以徑向向 外流出,當基板座32在製程位置時,此限流環46係位於 基板座3 2的上方外園,反應氣體從那裡流經阻流開口 (choke aperture)至抽氣通道60,此阻流開口位於環形隔板 64(annular isolator)底部以及反應室壁線形組件53的上方 ___第 16 育___ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) I — J I I —^ I 裝 I訂 線 (請先閱讀背面之注意事項再填寫本萸) A7 407304 _____ B7 五、發明説明() 之間。 一真空系統8 8 ’用以維持反應室中的特定壓力以及移 除反應氣體的副產物’此真空系統88包含一真空幫浦82 以及一節流閥(throttle va丨Ve)83。排出的氣體環繞於反應 室的周圍,向上進入抽氣通道60藉由真空幫浦82抽離。 此抽氣通道60藉由排氣孔74連結至抽氣增壓間(pumping plenUm)7“此排氣孔74限制抽氣通道6〇與抽氣增壓間 (pumping Plenum)之間的的流量。經由排氣閥至真空幫 浦82的排氣受閥門78所限制。根據儲存於記憶體86中 的壓力fe制程式’處理器85會控制節流閥(throttle valve)83的動作,此處理器85會將壓力感應器(例如壓力 5十’但未顯示)所量測的數值與儲存於記憶體8 6中(或根據 控制程示所產生)的設定值做一比較。抽氣通道6 〇與其組 成元件係用以將反應性氣體以及副產物導入排氣系統中 而產生不要之薄膜的效應減小。 C.陶瓷基板座32 回到第1圖,一熱阻陶瓷基板座32支撐晶圓袋(wafer packet)34中的晶圓36。基板座32可使用一自動調整上昇 機構於製程位置(例如第1圖所示)至低裝填位置間垂直移 動’此自動調整上昇機構的詳細說明見於一篇名稱為 "Self-Alignment Lift Mechanism."的美國專利(編號 08/738,240’申請於1996年10月25日,發明人為Leonid Selyutin與Jun Zhao)。在低裝填位置(梢微低於狹縫閥(slit 本紙張尺度適用中國國家標準(CNS > Λ4况格(210X297公釐) ---;---:---丨裝------訂------線 (請先閱讀背面之注意事項再頊寫本頁) 經濟部中央標準局員工消費合作社印製 第17頁 407304 A7 B7 _ 五、發明説明() valve)56)時的基板座32,藉由機械葉片(robot blade;未 顯示)與舉昇柱以及舉昇環協力,經由狹縫闊5 6將晶圓3 6 移入或移出反應室3 0,此狹縫閥5 6以真空密封用以避免 氣體外洩或流入反應室3 0。舉昇柱3 8將插入晶圓(未顯示) 舉離於機械葉片(robot b丨ade)之上,然後基板座32上异以 將晶圓舉離於舉昇柱3 8,並送至位於基板座3 2上表面的 晶圓袋3 4。一適當的機械臂傳送裝置詳見於美國專利(編 號4,95 1,601,核發給Maydan等人)。藉由使用此自行對 準上昇機構,基板座3 2將晶圓舉昇然後移至製程位置, 此製程位置靠近氣體分佈歧管40。 如第2圖所示,一簡化的基板座3 2剖面圖,此陶瓷 基板座3 2包含一嵌入式的射頻電極2 2 (例如一嵌入式的相 網眼;m ο 1 y b d e n U m m e s h)以及一加熱元件3 3 (例如一援入 式的鉬線圈;molybdenum wire coil)。較佳的陶瓷;基板座 32係由氮化銘(aluminum nitride)所製成,且以擴散黏合於 一牢固於水冷式鋁轉軸28(未顯示於第2圖,僅顯示於第i 圖)的陶瓷支柱2 6。此陶瓷支柱2 6以及鋁轉軸2 8具有被 鎳桿25佔滿的中央通道,此鎳桿25係用以傳輸低頻的射 頻電源至嵌入式的射頻電極22。該中央通道維持於—大氣 壓以避免弧光放電以及金屬與金屬間的腐蝕。 第3圖係金屬/陶瓷連結之較佳結構’用以供給射頻電 源至嵌於陶瓷基板座3 2中的射頻電極2 2。如第3圖所示, 鎳桿25以銅鋅合金焊接於具有外螺紋的觀察孔 (eyelet)27 ’此外螺紋與基板座32中的内螺紋吻合。插頭 ____ 第18頁 本紙張尺度適财關家料(CNS ) Λ4· ( 2IGX 297公楚) ' ~-- ---„-----Ί—^------ir------φ'. (請先閱讀背而之注意事項再填寫本頁) 經濟部中央標準局員工消费合作社印製 407304 A7 B7 五、發明説明( ⑽以銅鋅合金焊接於觀察孔27中的錄桿以 ^ 丸(peHet)26燒結於氮化鋁陶瓷拕架並接觸射頻 I I I'-1 ·! n I H . I -I - I (請先閲讀背面之注意事項再#約本頁) 電極22’錢插頭(e咖一仰以銅鋅合金烊接於细丸 (pelUt)26,用錢鎳桿25牢固於射頻電極u。銀/妖銅辞 合金較佳。 藉由將射頻電極22嵌於低於基板座32表面的固定深 度:陶资基板座32可提供固定的電容值。射頻電極22位 於最小深度(視陶资材料而定而定)以提供當避免覆蓋於射 頻電極22上的薄陶瓷層斷裂或剝落時的最大電容值,在 一實施例當中’射頻電極22係嵌在低於陶瓷基板座η上 表面40密爾(mil)處。 D.射頻電源供應器5以及滤波/匹配電路 經濟部中央標準局負工消費合作社印装 一射頻t源供應器5 ’可提供高頻以及低頻射頻電源 至反應室以進行電漿增強製程。第5圖係一顯示外部射頻 電路的電路圖,其包含高頻以及低頻射頻電源。此電路將 高頻射頻功率輸入至第1圖中的氣體分佈歧管4 〇,而且將 低頻射頻功率輸入至嵌於陶瓷基板座32的射頻電極22 » 藉由一具有鬲通以及低通濾波器的電路將高頻以及 低頻射頻波形分離。該低頻強度在電漿鞘(sheath)的位置 最大且在氣體分佈系統的位置最小,該高頻強度在電漿鞘 (sheath)鄰近氣體分佈系統的位置最大,且在基板托架的 表面最小。該氣體分佈系統對於低頻射頻電源來說為”假 接地"(pseudo-ground),而且電壓減至最小以避免電弧放電 第19頁 本紙张尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) 407304 A7 B7 經濟部中央標準局貝工消費合作社印製 五、發明説明( (arcing)。將高頻以及低頻射頻電源分離導致低自我直流 偏壓(self DC bias)隨著射頻電源的增加而減低,因此可藉 由通過底邵電漿鞘(PIasma sheath)的低頻射頻訊號強度直 接控制離子能量。 %由外部射頻匹配單元(e X t e r n a 1 m a t c h u n i t)將高頻 以及低頻射頻電源之間的干擾減至最低,此外部射頻匹配 單元匹配高頻射頻時的阻抗以及低頻射頻的阻抗。除此之 外,此问頻以及低頻射頻電源之間的干擾會導致電極部份 的Γ7 U壓,以及氣體分佈系統的電弧放電而傷及喷氣頭。 使用低頻底„卩電漿鞘以及高頻頂部電漿鞘會導致一受外 部射頻電路影響而增減的共振頻率 frequency) ° 如第5圖所不,高頻射頻電源! 2係連接於—高頻射 頻阻抗匹配單兀丨3以及一高通濾波器1 4 ,此高通濾波器 Μ將高頻射頻電源12隔絕於低頻射頻電源之外。低頻接 地端15亦藉由低通濾波器丨6將高頻射頻觉源隔絕於外。 低頻射頻電源丨…接於將高頻射頻電源隔絕於低頻射 頻電源外的另一低通濾波器18。包含—高通濾波器汕的 高頻接地端19亦將低頻輸入訊號隔絕於外。 第5圖之電路組態所傳送的射頻電源強度的最大值為 1 3.56百萬赫兹(ΜΗζ) ’以有效產生電子撞擊分離以及將噴 氣頭電極處的低頻震堡減至最低。如下列詳述所指出的, 本發明人發現高頻與低頻之間的相位以及能量干擾在錐 形孔洞處應減至最低,以避免電衆的不穩定现象和微電弧 第20頁 本紙張尺度適用中國國家標华(CNS ) Λ4規格X297公[7 •1Ί I I 訂 n 線 (請先閲讀背面之注意事項再if鳥本頁) 經濟部中央標準局貝工消費合作社印製 407304 a7 --____B7___ 五、發明説明() 故電(microarcing)。射頻電路將代表丨3 56百萬赫茲的"假 接地端"之底部電極低頻能量增至最大,如此限制了 n . 56 百萬赫兹在底邵電極處的震盪以及避免自我直流偏壓(低 於2 〇伏特)的形成’所以可藉由低頻電壓強度直接控制離 子此量’可降低晶圓表面的電荷效應(charging effect)以及 電漿擊傷。 避免共振(harmonics)形成的同時,在某些實施例當中 使用上述外部匹配電路以放大形成於電漿鞘中的共振是 可能的,例如共振頻率以及共振強度可藉由改變外部電容 20 的電容值來調整,藉由 spjcECsimuIated program while integrated circuit emphasis)的數學模型,本發明人觀察到 可6周整出一強烈的共振(r e s 0 n a n c e),這些計算證明選定電 容20的值為1 500微微法拉(pico Farad;M^,共振頻率近似 於3百萬赫兹且共振強度高於頻率在丨3.5 6百萬赫茲時的 共振強度。據信此現象歸因於離子共振,而见若調整適當 的話適用於將濺鍍(sputtering)元件導入至製程中,或是調 整電漿内反應物的特性與濃度。 E _其他元件 回到第1圖’一液體熱交換系統6,使用液態熱交換 介質’例如水或是水與乙二醇的混合物(water glye〇1 mixture) ’以移除反應室中的熱能,並且維持反應室某部 份的適當溫度以保持製程時的適當溫度。液體熱交換系統 6傳遞液體至反應室30的不同元件,使這些元件在高溫製 ____ 第 2^_ 本紙張尺度適用中i國家樣準(CNS ) Λ4現格(210X 297々i~j * I - J丨裝· 訂------線 (請先閱讀背面之注意事項再填寫本頁) 五、發明説明( 407304 A7 B7 程中維持適當的溫度。此液體熱交換系統6降低反應室某 些疋件的/皿度’用以將因為高溫製程所導致的不要的沉積 物減至最少。"丨圖所示,氣體饋源蓋板45内的熱交 換通道79使熱交換液態經由氣㈣源蓋^ #環,如此 維持氣體饋源蓋板45 u及鄰近元件的溫度。此液體熱交 換系統6包含供給液體(例如水)的連接部份(未顯示),此 連接邵份經由熱交換液體歧管傳遞液體至氣體分佈系 統。此耽體分佈系統包括噴氣頭4〇(以下將討論)。水流偵 測器偵測由熱交換器(未顯示)流至封閉組件的水流。 使用馬達與光偵測器(未顯示)移動以及判定可動機 組件(例如節流閥83以及基板座32)的位置。附著於基 座32底部以及反應室室體I]的蛇腹管(beU〇ws)形成一 動的氣密封墊(gas-tight seal)。此基板座舉升系統、馬達 閘閥門、電漿系統包含可選用的遙控電漿系統4(其可能 用微波產生電漿’以提供反應室潔淨能力),其他系統 件受控於控制線3以及3A-D(詳述於下)上的處理器85 械 板 可 使 元 裝丨^-----訂------線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 II.CVD系統10的系統控制 處理器8 5執行系統控制軟體,其係儲存於輕合至處 理器85的記憶體86内的電腦程式,記憶體86也許是硬 式磁碟機,但也可能是其他種類的記憶元件。除了記憶體 86外,處理器85尚包含一軟式磁碟機以及卡匿(card rack)。處理器85在系統控制軟體的控制下運作,此系統 控制軟體包含:時機的指定、氣體混合、氣體流動、反應 第22頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X2^7公瘦) A7 407304 ________B7 五、發明説明() 一 至壓力、反應至/孤度、射頻電源位準、加熱器基板座位置、 加熱器溫度以及其他特殊製程參數等指令的設定。其他儲 存於其他记te fe·(包含权式磁碟機或其他)中的電腦程式, 亦可用於操作處理器8 5。系統控制敕體詳述於下:卡匣 (card rack)包含一單晶片電腦(single_b〇ard c〇niputer)、類 比和數位輸入/輸出卡、界面卡以及步進馬達控制板。CVD 裝置10的不同零件符合Versa Modular European(VME)標 準’此標準係定義電路板、卡匣(card cage)、連結器的尺 寸以及種類。此V Μ E標準亦定義具有1 6位元之資料匯流 排及24位元之位址匯流排的匯流排結構。 使用者與處理器8 5之間的界面係經由第6圖的陰極 射線管(CRT)監視器93a以及光筆93b,第6圖係監視器以 及C V D裝置1 0的簡化圖’其說明多重反應室體系統中的 中一個反應室。C V D裝置1 0附著於包含且提供電源、管 線(plumbing)以及其他支撐功能的主框架單元1 〇。示範用 的主框架單元相容於CVD裝置1 0的實施例,其為現行商 業上可得的,例如美商應用材料(Applied Materials)的 Precision 5000TM 以及 Centura 5200TM 系統。此多重反應 室體系統具有不須破真空、不會曝露於濕氣或其他污染而 轉移晶圓至其他反應室的能力。此多重反應室體系統的優 點是不同的反應室可用於不同製程中的不同目的。例如, 其中一反應室可能用於沉積金屬薄膜,另一反應室可能用 於快速熱處理(rapid thermal process),再另一反應室可能 用於沉積抗反射層(anti reflective layer)。達些製程可於此 第23頁 本纸張尺度適用中國國家標準(CNS ) Λ4規格(2I0X297公釐) ---„------.--裝·------訂------線 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印製 407304 a? —__B7 —_____ 五、發明説明() 多重反應室體系統中不中斷地進行,因此可避免在—製程 勺不同步驟,因轉移晶圓至其他反應室(不在此多重反應 至體系統中)時的水氣汙染。 在一板佳貫施例中使用兩個監視器9 3 a,一個固定於 系淨至的牆壁上用以操作,另—個背向牆壁用以供技術人 員使用。此兩個監視器93a同時顯示相同的訊息,但是只 可使用一個光筆93b,此光筆93b藉由筆端的光偵測器偵 測監視器93a所發出的光線,為選擇一特殊的畫面或功 此’操作者接觸監視器的一設定區域,以及按下光筆93b 上的按紐’此時被接觸區域會改變發光的顏色或是顯示新 的選單’以確認光筆93b與監視器之間的傳遞訊息。當然, 其他裝置(例如鍵盤、滑鼠、指向或通訊裝置)亦可用於取 代或附加於光筆9 3 b之上’以便使用者與處理器8 5溝通。 薄膜的沉積過程以及反應室的乾式潔淨(dry cleaning) 可藉由處理器85執行電腦程式來達成,此電腦程式的程 式碼可寫成任何傳統電腦皆可讀取的程式語言,例如 6 8000組合語T ' c“、pascd、F〇rtran或其他語言。使用 編輯器將適合的程式碼輸入至單一檔案或多個檔案,或是 儲存於電腦媒體(例如電腦的記憶體)^如果輸入的程式碼 為高階語言(經過編譯的),編譯碼則與视窗作業系統 (Windows)的目的碼(〇bject c〇de)連結。為執行連結系統使 用者呼叫機械碼,使得電腦系統將程式碼載入至記憶妒, 中央處理器(CPU)由此記憶體讀取以及執行程式碼以確訪、 程式中的指令。 ___ 第24頁 本了氏張;適财ϋ國家縣(CNS )八4規格(21gx297公梦7) "' -- 1 n ΙΊ II ——_ 裝 —I I i 線 (請先閱讀背面之注意事項再"寫本頁) A7 B7 4G7304 五、發明説明() 第7圖係一方塊圖’其說明系統控制軟體(電腦程式 1 60)的層級控制結構。藉由一光筆界面,使用者輸入一製 程設定值以及反應室數目至製程選擇子程式(pr〇cess selector subr〇utine)161,以回應選單或監視器所顯示的畫 面。此製程設定(其為特定製程所需的預設製裎參數)係由 預定的設定值所確認。此製程選擇子程式I 6 i確認⑴想要 的反應室數目’以及(ii)想要的製程參數,用以執行特定 製程所需的製程參數與製程的狀態有關,例如與反應性氣 體組成 '流速、溫度、壓力、電漿的狀態(高頻或低頻射 頻電源位準以及頻率)、冷卻氣體壓力、反應室壁溫度等 有關。製程選擇子程式1 6 1控制反應室3 〇在某特定時刻 所進行製程的種類(例如沉積、潔淨晶圓、潔淨反應室、 反應室結拖(gettering)以及熱流等)。在其他實施例中,可 能不只一個製程選擇子程式。製程參數以配方(recipe)的形 式提供給使用者’而且可輸入至光筆/監視器的界面。 藉由系統控制器的類比輸入板與數位輸入板提供監 視製程的訊號,而且在CVD系統1〇的類比輸出板與數位 輸出板上輸出控制製程的訊號。 製程順序子程式1 62包含用以接收來自製程選擇予程 式161之確認反應室以及製程參數的程式碼,以及控制不 同反應室操作的程式碼。多個使用者能夠輸入製程設定數 值與反應室數目,或是單一使用者能夠輸入多組製程設定 數值與反應室數目,所以製程順序子程式162依照順序排 定製程的的先後。此製程順序子程式丨62包含可執行下列 第25頁 各紙張尺度適用中國阐家樣芈(CNS > Λ4規格(210X297公釐) --^----------裝------訂------線 (請先閱讀背面之注意事項再矽寫本頁} 經濟部中央榡準局員工消費合作社印製 Α7 Β7 經濟部中央標準局員工消費合作社印製 立、發明説明( 少驟的程式碼⑴監視反應室的 使用中(ii)判^ 2 .. 、 乂判定反應室是否在 、)判叱何種製程正於使用中沾 可用的反廄& ’反應室進行(iii)以 J η日]反應至以及製程的種類為 统監視反廄& I礎進行設定的製程。傳 妩釦現反應室的方法亦可使用, 吁 日争,贺耜麻e P〇丨丨ing。當製程排定 時版私順序予程< 162會將目前 扔狀:枥, ^程狀況與設定的製 穋狀况做一比較,或是每一使用 表 ,a. ^ 输入要求的時間(age), 或疋程式故計者想要囊括的任 ^ , „ 丹他排序相關因素,以排 定莱紅的優先順序。 一旦順序子程式1 6 2決定哪—個 π 個反應室以及製程參數 将被執行’此順序子程式丨62藉 rt 知由傳遞特殊製程參數至反 應至體管理子程式163a_C開始執行製程設定,此反應室體 管理子程式⑹a-c根據順序子程式162所決定的製程設定 來控制反應室30中的多重製程作業。例%,反應室體管 理子程式l63b至少包含用以控制CVD運作的程式碼。反 應室體管理子程式163b亦控制不同的反應室體元件子程 式(其控制反應室元件運作所需執行的製程)之執行。反應 室體元件子程式包含基板位置予程式164、反應氣體控制 子程式165、壓力控制子程式166、加熱器控制子程式167 以及電衆控制子程 < 丨6 8等。本發明之某些實施例包含所 有上述子程式,而其他某些實施例僅包含上述子程式的部 份,端視CVD反應室體的特定組態而定。熟悉該項習知 技術者會欣然同意是否包含其他反應室體控制子程式端 視反應室3 0所執行中的製程而定。運作時,反應室體管 理子程式1 6 3 b根據正被執行的特殊製程選擇性地排序或 第26頁 本紙張尺度適用中國國家榡準(CNS ) Λ4说格(210x297公楚) ,---.--*丨丨装*------訂------涑 (請先閱讀背面之注意事項再填寫本頁) 407304 A7 B7 _ _ 五、發明説明() 哞叫反應室體元件子程式。此反應室體元件子程式類似於 順序子程式1 62 ’其排定下個執行的反應室3〇以及製程設 定。一般來說’反應室體管理子程式i 63b包含下列步驟: 監視不同的反應室體元件、以壓力參數決定運作哪一個反 應室體元件、開始執行代表監視及決定步驟的反應室體元 件子程式。 反應室體元件子程式的運作請參閲第7圖,基板位置 子程式1 64至少包含控制數個反應室體元件的程式碼,此 數個反應室體元件將基板送至基板座(pedestai)32,且將基 板舉升至想要的尚度以控制基板與噴氣頭(sh〇werhead)40 的間距。當基板送入反應室3 〇之後,加熱器組件3 3下降 以接收晶圓袋3 4中的基板,然後再上升至想要的高度。 運作時’基板位置子程式1 64控制基板座32的移動,以 回應與反應室體管理子程式丨63b傳來之支撐高度有關的 製程參數。 反應性氣體控制子程式1 65具有控制反應性氣體組成 及流速的程式碼’反應性氣體控制子程式1 6 5控制自動阻 斷(shut-off)閥門的開啟/關閉位置,並以斜波升/降(ramp up/down)來控制流量控制器(mass flow controller),以得到 想要的氣體流速。反應室體管理子程式1 63b呼叫反應性 氣體控制子程式丨6 5,以及接收與欲得氣體流速有關的製 程參數。一般來說,反應性氣體控制子程式丨6 5以下列方 式運作··開啟氣體輸送管路且重複⑴讀取流速控制器,(π) 比較讀取值與反應室體管理子程式1 6 3 b傳來的設定流 __第27 貰 本紙张尺度適用中國國家標準(CNS > Λ4規格(2丨0X297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝: 經濟部中央標準局員工消費合作社印製 A7 B7 407304 i、發明説明() 速’(Hi)必要時調整氣體輸送管路的流速。而且,反應性 氣體控制子程式1 6 5包含監測氣體流速,以及偵測到不安 全狀況時,啟動自動阻斷(shut-off)閥門的步驟。反應性氣 體控制子程式1 65亦控制潔淨氣體及沉積氣體的流速與組 成(視選定為潔淨或沉積製程而定)。另一實施例可能具有 不只一個的反應性氣體控制子程式,每一個反應性氣體控 制子程式控制特定的製程形態或特定的氣體管路。 在某些製程中’惰性氣體(例如氮氣或氬氣)流入至反 應室3 0内以穩定反應氣體導入前的反應室體壓力。對於 這些製程,反應性氣體控制子程式1 65包含:控制惰性氣 體流入反應室3 0的時間以穩定反應室體壓力的步驟,然 後執行上述步驟。而且,當反應性氣體係由汽化產生的(例 如TEOS) ’則反應性氣體控制子程式1 65被改寫為包含了 列步驟:經由發泡室(bubbler)中的液體,將傳送氣體(例如 氦氣)汽化,或是將載氣(例如氦氣)導引至液體注入系統。 當發泡室用於此種形式的製程時,反應性氣體控制子程式 1 6 5調節傳送氣體的流動、發泡室壓力以及發泡溫度,以 得到想要的反應性氣體流速。如同上面所描述的,想要的 反應性氣體流速被轉換成反應性氣體控制子程式1 65的製 程參數。另外,反應性氣體控制子程式1 65包含下列步驟: 藉由讀取儲存表(table)得到所需的反應性氣體流速、發泡 室壓力以及發泡溫度,此儲存表包含給定反應性氣體流速 所需的數值。一但所需的數值得到之後,此反應性氣體流 速、發泡室壓力以及發泡溫度將被監測並與所需的數值做 第28頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨〇'〆297公* ) ----------Ί—--装-- (請先閱讀背面之注意事項再填寫本頁)
、1T
Vi 經濟部中央標準局員工消費合作社印製 Μ 術304 ____Β7 五、發明説明() 一比較以茲調整。 壓力控制子程式1 66包含:調整排氣系統中節流閥 (throttle valve)的孔洞大小以控制反應室30之壓力的程式 碼。此節流閥(throttle valve)的孔洞大小係用以控制反應 室體壓力於一設定值,此設定值與總反應性氣體流速、反 應室的尺寸以及排氣系統設定的抽氣壓力有關。當壓力控 制子程式1 66被呼叫時,反應室體管理子程式1 63b所傳 來的目標壓力值被接收以作為參數。藉由讀取連結於反應 室之一個或一個以上的傳統壓力計,壓力控制子程式丨66 量得一壓力值’並將此壓力值與目標壓力值做一比較得到 一 PID(pr〇p〇rtional,integral and differential)值,然後根 據此PID值調整節流閥。或是’壓力控制子程式1 6 6可改 寫為開啟或關閉節流閥成一特定大小,以調節反應室的抽 氣量至設定準位。 加熱器控制子程式1 6 7包含:控制加熱晶圓座3 2 (其 上有基板)之加熱元件丨〇 7溫度的程式碼。此加熱器控制 子程式1 6 7亦被反應室體管理子程式所呼叫並接收目標, 或疋设定數值與溫度參數。藉由量測晶圓座上溫差電偶 (thermocouple)的輸出電壓,此加熱器控制子程式可量測 溫度’將量得的溫度與設定值的溫度做一比較,並且增加 或減少施加至加熱單元的電流以得到設定值的溫度。藉由 比較儲存表中的對應溫度,或是計算四階的多項式,可從 量測電壓得到溫度。當嵌入式電路(embeddecn〇〇p)用於加 熱0曰圓座3 2時’加熱器控制子程式丨6 7逐步地控制施加 __ 第29頁 本紙張尺度適用中國國家標準(CNS ) /U規格(21 〇 X 297公釐) --------丨裝^------訂------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 ^07304 ______B7 __ 五、發明説明() 於肷入式電路的斜波升/降(ramp up/d〇wn)電流。而且包含 一内建、錯誤保護(fail-safe)模式以偵測製程安全彈性範圍 (compliance),以及當反應室3〇的溫度設定不恰當時關閉 此加熱單元。其他加熱器的控制方式可能使用一斜坡控制 演算法(ramp control algorithm),此斜波控制演算法的說 明見於標題為"System and Methods for Controlling the
Temperature of a Vapor Deposition Apparatus11的美國專 利’其申請序號(application number)為 08/746657,發明 人為Jonathan Frankel,申請曰期為1 996年1 1月13曰, 此篇專利係作為參考文獻之用。 電漿控制子程式1 6 8包含:設定施加至電極(位於反應 室30以及加熱器32)上的低頻與高頻射頻電源為準,以及 設定低頻與高頻射頻頻率的程式碼。如同之前所描述的, 電漿控制子程式168係由反應室體管理子程式i63b所呼 叫的。對於包含遙控電漿產生器4的實施例來說,電聚控 制子程式1 6 8亦包含控制此電漿產生器4的程式碼。 III.以CVD系統10沉積CVD薄膜 在本發明之裝置發展前,一般認為使用錐形孔洞(例如 孔洞42)的喷氣頭(showerhead)並無法有效地用於混頻電 漿增強化學氣相沉積(mixed frequency PECVD)製程。如同 上述發明背景部份所討論的’所有已知使用具錐形孔洞之 喷氣頭於混頻PECVD製程中的嘗試中,高頻(HF)與低頻 (LF)電源供應器皆連結於噴氣頭(上方電極),如此的组賤 _____^301" 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) ~~ -----------裝------訂------液 (請先閲讀背面之注意事項再填寫本頁} 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印製 第31頁 407304 五、發明说明( 會導致高頻(HF)與低頻(LF)波形之間產生不穩定的相位干 擾,然後上方電極處高於預設值的電壓會導致電恭放電 (arcing)。 本發明之發明人發現:使用底部電極(低頻電源供應器 係連結於基板下方部的電極)、射頻濾波器以及上述匹配 電路’可有效地將高頻與低頻波形分離以避免之前遭遇的 相位干擾問題。使用此組態時,高頻與低頻波形的相位干 擾可減至最低’當相位干擾減至最低時’本發明人發現具 有錐形孔洞之喷氣頭可使用於混頻PECVD製程中,同時 避免了孔洞42中或其附近的電弧放電。 同時使用混頻射頻電源以及錐形孔洞使得沉積的薄 膜在许多方面具有良好的物理性質。首先,如之前付体 的,以錐形孔洞替代平直孔洞可增加反應性氣體的分解 率、較高的電漿密度以及增加離予化效率(i〇nizati〇ii efficiency)。較高的分解率對於沉積氮化矽或其他薄膜(其 使用的來源氣體不易分解,例如氮氣)特別重要。因此, 沉積氮化矽薄膜時使用錐形孔洞可ΝΑ的使用量,而氮氣 的㈣相對地増加。簡3的使用量降低可使薄膜吸收較少 的氳成份以及較低的WER(濕蝕刻率)。本發明之詳細 描述如下β 另一方面,低頻射頻電源可控制轟擊基板以及沉積薄 膜的離子能量。控制離子轟擊可改善薄膜的密纟,得到較 :圭的應力控制、較低的WER(如同熟知該項技術者所二 的,於ό比丨的B〇E溶液中蝕刻)以及改善薄膜的緊緻 本紙張尺度顧 (請先閱讀背面之注意事項再填寫本頁} 裳| ----- 經濟部中央標準局員工消費合作社印製 4〇73Q4 五、發明説明( (integrity)。據信沉 規(siUne)以及氨氣%薄膜時的離予森擊可排除碎 WER獲得改善。ammQnia)所殘留的氫成份而使薄膜的 同樣地’將高頻與 性。例如,如同之前::頻波形分離亦可改善薄膜的特 放電係- d;心的的,在噴氣頭4〇孔洞中的電紙 L積時的問題,-般來說,電弧放電在低 壓時較高壓時嚴重,例 4狐玟€在低 ,在先前使用混頻射頻電源以及 干直孔洞〉儿積的氮仆々制 ^ ^ ^程中,當反應室體壓力低於3托 耳(Torr)時會有電弧妨 _ . 苍的問題。因此,即使想要沉積於 較低的反應室體壓六,> 坠力也積時的壓力仍被限制於3托耳或 3托耳以上,因為且古ρ 1 & .,、有較低WER的薄膜才可沉積於如此低 的壓力下。本發明的进s 士 ㈠J的裝置t ’可於壓中低沉薄膜而不會產 生電弧放電的問韻,m t I7使使用具有錐形孔洞的喷氣頭,仍 可於2·5托耳下低沉薄膜而不產生電弧放電。 將门‘員與低頻波形分離使得沉積薄膜時可使用較多 的低頻ilb里。例如’在與上述相同的混頻氮化矽製程中, 每當低頻射頻功率超過總射頻功率的35%時,電孤放電就 成為-嚴重的問題,即使使用具有平直孔洞的喷氣頭時, 此問題仍存在。以本發明之裝置將高頻與低頻波形分離 後,且使用錐形孔洞時,低頻射頻的功率可超過總射頻功 率的3 5 %而仍不會產生電弧放電。本發明之裝置已成功地 使用超k、w射頻功率6 〇 %的低頻射頻功率。如同之前敍述 的’使用較高的低頻能量可增加離子轟擊並改善薄膜的特 性°然而也必須考慮增加的離子轟擊對底層(underlying 第32頁 表紙浪尺度適用中國國家榡準(CNvS )八4規格(2丨0X297公濩) -----,--;!^.------ΐτ------^ (請先閲讀背面之注意事項再填寫本頁) 4〇73〇4 A7 ---—------- B7 五、發明説明() - hyer)造成之效應。例如’如果沉積薄膜時離子轟擊太強, 則會傷及之前沉積的薄膜而使良率不佳,即使增加的離子 鼻擊在沉積時可改善薄膜的特性。這對於沉積PMD薄膜 時,閘極氧化物的完整性有重大影響。 經濟部中央標準局員工消費合作杜印製 發明人驴針對反應器(react〇r)阻抗對氮化矽薄膜的影 響做一詳盡的分析,第8A圖至第8D圖顯示製程壓力對反 應器阻抗的影響。對應於圖中的每一,點,調整折射係數2 〇 以及壓縮應力-UxW達因/每平方公分之氮切薄膜的 壓力fe圍(恩力為!至6τ〇ΓΓ)。以比例為丨·· 2 : 1〇的 Sil^/NHj/N2軋體作為先驅氣體(precus〇r gases)。縱軸代 表的參數··第8A圖為電壓(Vhf頂部電極Vlf底部電極); 第8B圖為電流密度(Ihf與Ilf);第8C圖為相角與 〇wiLF);第8D圖為阻抗值(丨Zhf丨與丨Zlf丨)。這些量測係藉由 兩個ENI VI lmpeciance pr〇besTM所量得的,其中一個位於 上方,用以量測高頻特性,另一個位於下方,用以量測低 頻特性。結果顯示低頻時具有較高的阻抗值以及相角 Φν/iLF近似-65度’ 〇v/iHF近似-80度。此結果顯示加入低 頻訊號後’反應器電容性阻抗(<Dv/i近似_9〇度)的實部 (natural)獲得改善。其他量測顯示若無加入低頻功率則巾邮 近似-8 7度。 測試用的低頻訊號(3 50ΚΗΖ)低於離子電聚頻率(本實 施例中估計為800ΚΗζ),因此,離子化物質(i0nized speeies) 對導致離子運動的低頻偏壓產生響應,此離子運動使電衆^ 產生一電感分量(inductive component),所以若是低頻功 _____ 第 331~_ 本紙張尺度適用中國阈家標準(CNS ) Λ4規格(210X297公釐) '~ A7 ^07304 -- ~~- ___ B7 五、發明説明(^ --- 率比率问(例如Wlf/Wlf + Whf大於20%)時,則電喂本體 ™抗無法表示成並聯的RC電路。如第η:體 (請先閱讀背面之注意事項再填寫本頁) 反應器阻抗可;田欠A v „ τ广& 仇J概略表τκ為RLC電路:(L串連於R)再與ε 並聯。 仔細控制離子的能量(與晶圓低頻電壓成比例 = )才能精確地對晶圓表面進行離子轟擊,然 而,第8A圖顯示加熱器電極電壓在一寬的壓力範圍下(2 至5Τ〇ΓΓ)係為一常數(約為460V),第8C與8D圖顯示: 反應β阻抗與壓力有關,相角與阻抗值在壓力為2T〇rr時 有最大值’亦發現壓力與ShN4的WER有關(參見第9圖)。 薄膜触刻率、相角以及阻抗值之間的關係顯示控制反應器 阻抗以精確地進行離子轟擊與改善薄膜性質(例如降低 Si3N4的WER)的重要性。同樣的原則亦適用於沉積其他薄 膜:,包含軋化石夕(silicon oxide)、氮氧化石夕(silicon oxynitride) '碳化珍(siiiC0I1 earbide)以及非晶型的乳化碳 (fluorinated amorphous carbon)或其他類似的薄膜。 經濟部中央標準局員工消費合作社印製 反應器阻抗亦可表示為低頻(LF)偏壓頻率的函數,此 低頻偏壓頻率係由300變化至950kHz的正弦波。第10A 至1 0D圖顯示低頻時電極的位能、電流以及反應器阻抗, 相角與阻抗值對頻率的函數關係可用簡單的元件表示出 反應器的模型。進行SPICE模擬,使相角與阻抗值的量測 匹配。第1 1圖係顯示此電路模型,除了之前描述的匹配 電路外,電漿本體(plasma bulk) 104以及兩個電漿鞘 (plasma sheath)100與102(均為第1圖中反應區58的部 _第34耳___ 本紙張尺度逋用中國國家標準(CNS ) Λ4規格(2丨0 X 297公釐) A7 407304 _____B7 五、發明説明() ~^ 份)。電漿本體(plasma bulk)l〇4可表示為具有大電感值 (Ι^ = 2 0μΗ)的RLC電路’此電感反映出離子的運動以及慣 性(inertia)。上方的電漿鞘具有一電流產生器,此電流產 生器係反映帶電物質的產生。二極體(D〇)係反映只有電子 流可流過電漿鞘的此部份。1〇的值A)與第8A圖中 1 3 · 5 6 M h z下所量測的數值相符。 除了第二電感(L〇)外,下方的電漿鞘類似於上方的 sheath,此電感L〇反映出離子運動經過電漿鞘以及感應的 離子轟擊’且調整電流產生器(Il = 0 9A)以符合量測值 (lu:)。此模型與此兩個電漿鞘不同的假設相符合(在噴氣頭 處解離且對下方電極離子森擊)。模型中以_連於電衆限 抗的電容1 〇 6表示陶瓷晶圓座/加熱器,當電極的深度為 4 0 m i 1時,加熱器電容值為2 5 0 0 p F。 第I 2 A與1 2 β圖係顯示量測值與模擬值的比較,以電 容值C3 = 2 5 00pF(圖中的粗線部份)計算時,量測值(圖中的 點狀部份)與此模型相當吻合。C 3的量測方法係將一金屬 板置於加熱器上方’然後以電路分析儀(netw〇rkanalyzer) 量測(熟知此項技術者應相當了解)。其他曲線則顯示出當 加熱器電容改變時對反應器阻抗的影響,由這些數據可知 加熱器電極電容對於反應器阻抗有重大的影響》此加熱器 電容係由電極嵌入的深度(d)所決定(C = eS/d,其中ε為氮化 鋁的介電常數’ S為電極的面積,d為電極的深度)。因此, 在加熱器製作的過程中精確地控制電椏的深度是相當重 要的。 ____ 第35頁:_ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2丨〇χ297公尨) _ Ί 7"-- f靖先閱讀背雨之注意事tf再填"本耳j -、1Τ· 經濟部中央標準局員工消費合作社印製 m 4〇nG4 Μ 經濟部中央標準局貝工消费合作社印製 五、發明説明( 以上述特性為基礎,本發明人對本發明之C v D系統 1 0發展出兩種額外的改良。第一種改良包含:於C v D系 統1 〇之中加入一阻抗調整器丨〇8。此阻抗調整器i 〇8顯示 於第11圖’其申連於電容1〇6(晶圓座32)且可視製程狀況 而改變大小,可作為一附加的旋鈕(kn〇b)以調整反應器30 的阻柷,而獲得想要的薄膜特性。在一較佳實施例當中, 阻抗碉整器1 〇8係一可變電容,例如選定可使反應器阻抗 落在600至2500歐姆範圍内的電容值。在另一較佳實施 例當中’阻抗調整器1 〇8係為一 LC電路(可變電感與電容 並聯)。再另一實施例中’阻抗調整器丨〇 8可藉由一旁路 開關(未顯示)與CVD系統1〇斷開。 第二種改良包含:於C V D系統1 〇之中加入一阻抗探 針1 1 〇(顯示於第1與第5圖)。阻抗探針丨1 〇藉由連線1丨! a 以及1 1 1 B與反應室3 0形成電性連結,當連線n丨b連結 於輸入端1 12B,而且此輸入端! 12B與上方電極(面板40) 成電性接觸時,連線1 1 1 A連結於輸入端n 2 A,且此輸入 响1 1 2A與嵌入晶圓座32中的下方電極22成電性接觸。 藉由担制線3 ’此阻抗探針1 1 〇與處理器8 5可形成通連。 以上述方式連結時,阻抗探針丨丨〇可用於監測反應器 的阻抗’如果適當的話’處理器85可調整製程狀況或是 阻抗調整器1 08的設定(如果阻抗調整器丨〇8為一可變電 谷時’調整其電容值)以補償反應器阻抗的變化。這是特 別有用的’因為在某些製程中反應器3 〇阻抗對薄膜的特 性(例如WER應力、沉積速率、折射率以及薄膜厚度的均 第36頁 Μ氏張尺度適用巾國闯家標準(CNS ) Λ4規格(21〇χ297公廣 (請先閱讀背面之注意事項再填寫本筲) 裝· ,ιτ 線 A7 B7 4073G4 五、發明説明() 勻性)有特定的影響。因此,若進行一 2 0 0 〇晶圓的製程, 處理器8 5偵測到反應器的阻抗偏移出設定範圍時,會採 取適當動作以調整反應器阻抗’且確認薄膜的特性在晶圓 廠的規格範圍内。此調整阻抗偏移的動作包含(但不限 定):調整反應室的壓力,增減高頻或低頻射頻功率,且 调整阻抗#1整器1 〇 8的設定,此項特徵亦可視為即時(i η suit)阻抗監測。 上述C V D系統1 〇之特徵與設計的描述亦說明此匚v d 系統1 0可用於沉積C V D薄膜於大範圍的製程條件下(包含 之前不可能適用的製程參數),此裝置可用於沉積不同的 CVD薄膜,包含:低溫製程薄膜,如金屬間介電層(imd), 或是尚溫製程薄膜,如金屬前介電層(pMD)。某些特定製
考玉包含 TEOS(tetraethylorthosilicate)或是矽燒的 PECVD 以及SACVD(SUbatm〇Spheric CVD),其包含:未摻雜的氧 化矽(U S G)與經摻雜的氧化妙,例如硼鱗秒玻璃(b p s g )、 磷矽玻璃(PSG)或是摻雜氟的矽玻璃(FSG)。同樣地,氮化 矽(Si3N4)、碳化係、氮氧化矽(siHc〇n carbide ; 8丨〇為)、 非晶矽以及其他薄膜,亦可使用本發明的裝置來進行沉 積。 本發明人發展出在低溫製程下,具有較低濕蝕刻、良 好階梯覆蓋率、薄膜緊緻性(integrity)增加、細微孔洞 (Pinhde)減少的氣化碎。本發明人亦發展出wer低於15 請米的高溫PECVD氮化矽薄膜,其低於許多以熱氧化 法製成的氮化矽WER。 本紙張纽14财關家縣((:NS ) 44胁(2T〇^297iin" J Ί ~.. ~~种衣 n 訂~~ ~~ 線 (锖先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7 ^g^3G4 —----- 五、發明説明( 在發展這些改良的氮化矽薄膜時,發明人就加熱器電 谷值對應力的影響、低頻/總射頻功率比值對離子羼擊的影 響以及WER與應力的關係進行了深度的研究。以折射率 2·〇以及I_5xl09dyne/Cm2的壓縮應力調整氮化矽沉積製 程。藉由作為阻抗調整器1 〇8的可變電容,使25〇〇ρρ的 加熱器電容值降低。本製程中SiH4/NH3/N2流入反應室的 流速分別為220/l2〇0/600sccm,反應室加熱至攝氏4〇〇 度,且壓力維持在4.0T〇rr。施加250瓦的高頻射頻功率 至氣體分佈歧管,以及250瓦的低頻射頻(35〇kHz)功率至 甩部電極。最後,基板托架與氣體分佈歧管之間的間隙設 定為425mU。這些研究結果顯示於第丨4至16圖且討論如 下。 第14圖顯示晶圓座/加熱器電容值會強烈地影響薄膜 的應力,當加熱器電容值增加時折射係數與薄膜厚度的均 勻性增加’且沉積速率減少’如此確認了薄膜特性與加熱 器t容值之間的關係。藉由模型(參見第丨2 A與丨2 B圖, 高頻時曲線之間的距離會變窄)可實際預測,發現單頻製 程的效果較混頻製程為低。此結果明確地說明出沉積氮化 邦薄膜時加熱器電容值可容忍的變動範圍,例如最 大應力為正負2xl〇8dynes/cm2時,加熱器電容值必須控制 在250〇pF加減13%的範圍内,其符合4〇mi^ +5 1 ,= 的電極深度。然而加熱器電容值超出此可容忍的變動範圍5 外時’藉由阻抗調整器1 08仍可將其更正。 薄膜緊緻性(integrity)以及其他薄膜特性與離子轟擊 本紙張尺度適用中國國家標準(CNS ) 規格(210 X 2M公釐 -------'---I——裝------訂------線 . - (請先閲讀背面之注意事項再填寫本頁:> 經濟部中央標隼局貝工消費合作社印褽 第38頁 37 37 經濟部中央標準局員工消費合作社印製 五、發明説明() 有密切關連,如上所述’離子能量與電漿鞘(sheath)電壓 成比例。發明人研究過低頻功率對噴氣頭以及電極電壓的 影響,亦記錄下13.56MHz的偏壓會感應自我直流偏壓。 第1 5圖顯示低頻功率增加的效應’總射頻功率保持在5 0 0 瓦’低頻功率增加使得加熱器電極的電壓V L F (以及離子能 量)增加,同時,喷氣頭的電壓V H F會降低。當低頻功率 増加時這兩個電極上的直流偏壓皆會降低。負的直流偏壓 係反映在電極處形成一"離子空乏"(i ο η - d e ρ 1 e t e d)的電漿 鞘。當進行單一(高頻)頻率製程時,此直流偏壓可大於200 伏特特。隨著低頻功率的加入,離子不再被視為固定電 荷,低頻偏壓驅使離子穿透電衆稍(sheath),因此消除了 電子充電效應(electronic charging effects)以及降低直流 分量,結果是離子能量直接受控於低頻電壓。在VLF小於 〇時,正離子(據信為多數離子)轟擊成長中的薄膜。 低頻功率與總射頻功率的比值[WLF/(WLF + WHF)]是調 整薄膜應力的重要"旋钮"(knob),如同之前所描述的,本 發明之裝置可使低頻功率至少增加至總射頻功率的 60% ’且在某些製程狀況下不會產生電弧放電。第1 6圖顯 示加入的低頻功率(VLF增加,直流偏壓減少)使得薄膜密 度增加’因為有更多高能離子對折射係數為2 〇的氮化發 (ShN4)轟擊。第16圖亦顯示薄膜的WER與應力有密切關 連’應用於元件時’需要具有適當壓縮應力(例如5〇至 15OMPa)的薄膜。本發明之反應器以及電漿阻抗可達最佳 化,以分離WER與薄膜應力,並提供高緊緻性以及可調 __ 第39貫 本紙張^度適/fl中國國本標準(CNS ) Λ4規格(210X297^ ) " - ------^---:--^------ΐτ------ - - (請先閱讀背面之注意事項再填寫本頁) 407304 A7 B7 經濟部中央標準局員工消費合作杜印繁 五、發明説明( 整應力之薄膜。 曉得離子能量與加熱器電極電壓成比例之後,發明人 研究不同的偏壓波形與頻率以確認其對離子轟擊的效應 夫的角色。這些不同的波形以正弦波、不對稱波以及 方波、】4之(第丨7 a至1 7 D圖)。對於每一波形,改變其頻 率以求得薄膜的特性(階梯覆蓋前,蝕刻25〇埃之後或是 浸泡於6 : 1的B0E中一分鐘)。 以頻率為3 5 0 k Η z的正弦波形(第1 7 A圖)進行測試 用,就本發明人所知,正弦波形係所有混頻pEcvD製程 中用之fe制離子轟擊的標準波形,然而本發明人發現其並 非最適用於沉積氮化矽(ShN4)。的確,基板有半週期未受 離子轟擊,因為波形成交替變換,所以每半週期基板托架 受離子轟擊,另一半週期則氣體分佈歧管受離子轟擊。當
Vwafer為正值時,電子流係流向晶圓且離子自下方電漿鞘 被驅離,因此離子轟擊只存在第丨7A至丨7D圖的陰影區 130° 本發明人發現使用第17B圖中的不對稱波形可改善薄 膜品質,亦發現在低頻時(例如低於4〇〇kHz)薄膜的緊緻性 通常較佳,因為低頻提供較低的相角(參見第1〇D圖),較 低的相角亦提供較佳的薄膜特性。事實上,沉積上述氮化 發(Si#4)薄膜時’以50至220kHz的不對稱波形可獲得最 佳的結果m〇kHz為最佳頻率。此特殊波形係由新發 展的ENI RPG |生器所提供,其他種類可用的不對稱踞齒 波形顯示於第17C圖中。這些波形在過去已被使用於反應 第40頁 ---------^---裝------訂------涑 (請先閱讀背面之注意事項再填寫本頁) ^07304 at __ B7 五、發明説明() 性滅鍍沉積製程(reactive sputtering deposition),例如 A1203,以及用於真空電ί瓜電漿沉積製程(vacuum arc plasma deposition),例如DLC、AI2O3以及其他金屬薄膜, 但就本發明人所知,尚未用於PECVD製程中。第1 7B與 1 7C圖中顯示的其他波形,可調整其工作週期(duty cycle) 以符合欲得的薄膜特性。此工作週期(duty cycle)係指晶圓 電壓為正電壓時所占的百分比:Ζ + /(Ζ + + Ζ·),通常較佳的 工作週期(duty cycle)是10至50%。 方波(第1 7 D圖)可視為一脈衝直流偏壓,其頻率以 150kHz至700kHz的變化進行測試,製程會受頻率影響, 因此有必要調整製程於不同頻率下進行,以沉積出具有折 射率2.0以及壓縮應力l.5xl09dynes/cm2的薄膜。在任何 情況下’發現使用方波會導致薄膜的緊緻性不佳。脈衝直 流波形有助於基板托架的離子轟擊,然而此方波亦有助於 共振(harmonics)。據信不佳的薄膜緊緻性歸咎於陡峨的負 緣(negative front) 1 34,其將共振引入系統中而導致電衆稍 不穩定。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 因此由上述測試可知由第1 7B圖之不對稱波形控制的 離子轟擊’其相較於第1 7A與1 7D圖之波形,會使離子為 擊增加以及薄膜特性改善。顯示於第1 7B圖的不對稱波形 亦可為鋸齒波、三角波或雙極性波,其在大部分的工作週 期中提供基板托架的離子轟擊且幾乎沒有共振 (harmonics)。其他不對稱波形(例如第1 7C圖中的波形)亦 可用於增加離子轟擊且防止共振(harmonics)形成。事實 仁紙張尺度適用中國國家標华(CNS ) Λ4現格(2丨0X297公釐) 經濟部中央標準局貝工消費合作社印製 ^07304 A1 -------B7 五、發明説明() ~ 上,任何對訊號週期具有離子能量平均線性分佈的波形皆 優毛純私的正弦波。為防止共振(h a r m 〇 n丨c s)形成,波形中 負緣(negative front)的邊緣斜率最好小於背緣(back 的斜率。 結論是’使用本發明可使沉積的薄膜具有上述特性且 >儿積的氮化矽(SisN4)較低的壓力、較高的低頻射頻功率比 值以及較高的氮/NH3比值。藉由施加高頻射頻功率至有錐 形孔洞的喷氣頭,以及施加低頻射頻功率至陶瓷基板托 架,可使沉積的氮化矽薄膜其WER降低5〇%。WER與製 私壓力有密切關連,因此對於控制沉積薄膜的特性,製程 壓力是重要的參數。 分離低頻與尚頻射頻功率可改善WER以及其他特 性,而且不會犧牲階梯覆蓋或應力。在實驗中沉積氮化矽 (ShN4)時,控制側壁與底部覆蓋達到i :丨的長寬比(^”以 ratio),以提供侧壁約為65%的階梯覆蓋率(相對於Hew 覆蓋率)以及底部約為65%的階梯覆蓋率(相對於Held覆 蓋率)’溝槽中的均勻成長性提供良好的薄膜均勻率以 及對具有抗蝕刻性的強底部角落(str〇ng b()ttQm , 此強底部角落可藉由弱底部角落而蝕刻完全。側壁階梯覆 蓋率、底部階梯覆蓋率以及均勻率(C〇nf〇rmality)的定義見 於第13圖。第13圖中顯示一氮化矽薄膜12〇沉積於兩相 鄰的金屬線122與124之上,所以此氮化碎薄膜12〇係兩 相鄰金屬線之間的溝渠(gap) 126部份填滿。側壁階梯覆蓋 率為a/bxl〇〇%,底部階梯覆蓋率為d/bxl〇〇%均勻率 ________第 42 頁 本紙張尺度適用巾關§:鮮(CNS > M現格(2丨0><297公廣> ' ---- ------^--^--批衣------、玎------^ - < (諳先閱讀背面之注意事項再填寫本頁) ^07304 A7 B7 五、發明説明() (conformality)為 a/cxi〇〇〇/0。 Iv•實驗與結果 本發明藉由下列的實施例作更進一步的描述,這些實 施例係將混頻氮化矽製程與分離式的混頻氮化矽製程作 —比較。這些實施例顯示沉積氮化矽於具有圖案之晶圓上 的最佳製程狀況。在每一實施例中,沉積的氮化矽具有折 射率2.0以及薄膜應力-1 · 6 X 1 〇 9 d y n e / c m 2,其符合現行件多 疋件的規格。調整無法正確反映上述數值的製程,以沉積 具有最接近特性的薄膜。 當貫施例1 - 4係根據本發明時,比較實施例a與b並 不是根據本發明來實施的。在每一實施例中,氮化硬薄膜 係沉積於階梯圖案(s t e p p e d t ο p 〇 g r a p h y )上,其包含_位於 兩分離金屬線之間的溝渠(gap)。兩分離金屬線之間的距離 近似於0.5微米且此溝渠的長寬比(aspect rati〇)近似於1 : t請先閲讀背面之注意事項存填寫本頁) -装. 訂 經濟部中央標準局員工消费合作社印製 比較實施例A 此實施例係進行於具有銘質基板把架以及平直孔 之氣體分佈歧管的CVD反應室中。高頻射頻功率施加 氣體分佈歧管,且低頻射頻功率施加於握住晶圓的銘質 板托架’在製程中此鋁質基板托架距離氣體分佈歧 3 50mil。 在攝氏400度之下將反應器抽氣至0.1 T〇rr的壓 於 基 管 力 本紙張尺度適用中國國家標率(CNS ) Λ4規格(210 X 297公釐) A7 407304 ___B7 五、發明説明() 然後以流速180 seem的_ί夕烷(si lane)、720 seem的氨氣 (ammonia)以及 1 600 seem 的氮氣維持在 3.7 Torr。以 loo 瓦的鬲頻射頻功率(1 3.5 6 Μ Η z)以及2 0瓦的低頻射頻功率 (3 5 0 kHz)施加於氣體分佈歧管。沉積一氮化矽薄膜,速率 為1 600埃/分鐘,折射率為2.0且薄膜應力為_ 1.4xl09dyne/cm2 ° 此沉積的氮化矽薄膜具有3 0 5埃/分鐘的W E R,且置 於蝕刻溶液中以移除250埃的薄膜時,已經確實地將溝渠 底部角落的氮化矽移除。
比較實施例B 此實施例係進行於CVD反應室中,且特別的是"DxZ„ 電歌反應器係由應用材料(Applied Materials, Inc.)所製造 銷售的’此電漿反應器具有陶瓷基板托架,但是高頻與低 頻射頻功率均施加於氣體分佈歧管。握住晶圓的陶瓷基板 托架,在製程中此鋁質基板托架距離氣體分佈歧管 5 6 0 m i 1 ’且氣體分佈歧管具有錐形孔洞。 在攝氏400度之下將反應器抽氣至〇丨T〇rr的壓力, 然後以流速215 seem的矽烷(silane)、1200 sccm的氨氣 (ammonia)以及600 sccm的氮氣維持在4〇 T〇rr。以2〇〇 瓦的高頻射頻功率(13.56MHz)以及200瓦的低頻射頻功率 (350kHz)施加於氣體分佈歧管。沉積一氮化矽薄膜,速率 為5560埃/分鐘’折射率為2.0且薄膜應力為. 2xl09dyne/cm2。 本紙張尺度適用中國1¾¾準(CNS ) -----~~_ ---------^---^------ΐτ------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 經濟部中央標準局員工消費合作社印製 407304 五、發明説明() 此'儿積的氮化矽薄膜亦具有305埃/分鐘的WER,且 置;5、蝕J /合液中以移『余2 5 〇埃的薄膜時,已經確實地將溝 渠底部角落的氮化矽移除。 本發明實施例1 此實施例係進行於c v D反應室中’且特別的是"〇 X z" 喂%器係由應用材料(Applied Materials’Inc.)所製造 销售的,此電漿反應器改良為同時具有錐形孔洞氣體分佈 歧管以及陶瓷基板托架,並顯示於第丨圖。高頻射頻功率 施加於氣體分佈歧管,且低頻射頻功率施加在嵌於陶瓷基 板托架的射頻電極22上,握住晶圓的陶瓷基板托架在製 程中距離氣體分佈歧管6 〇 〇 m i 1。 在攝氏400度之下將反應器抽氣至2.5 Torr的壓力, 然後以流速65 sccm的矽烷(silane)、13〇 sccm的氨氣 (ammonia)以及145〇 sccm的氮氣維持在4 〇 Torr。以16〇 瓦的高頻射頻功率(丨3·56MHz)施加於氣體分佈歧管,且 1 35瓦的低頻射頻功率(正弦波,35〇kHz)施加於陶泛基板 托架。氮化矽薄膜的沉積速率為i 745埃/分鐘,折射率為 2.0且薄膜應力為]5xl〇9dyne/cm2, WER為180埃/分鐘。 本發明實施例2 此實施例係進行於改良自本發明實施例1的CVD反 應室中,且握住晶圓的陶瓷基板托架在製程中距離氣體分 佈歧管485mil。 _____ 第45頁 本紙張尺度適用中國囡家標準(CNS ) Μ规格(2丨0X297公釐) ------:---7--^------,1τ------m - - (請先閱讀背面之注意事項再填寫本頁) 40T304
真、發明説明( 經濟部中失標率局負工消費合作社印製 在攝氏400度之下將反應器抽氣至ο」的壓力, 然後以流速21G SCCm的錢(Wane)、12〇〇 secm的氨氣 (―)以及600 5咖的氮氣維持在4 〇 To"。以25〇 瓦的高頻射頻功率(13.56ΜΗζ)施加於氣體分佈歧管,且 25〇瓦的低頻射頻功率(正弦波,35QkHz)施加相竟基板 托架。氮化矽薄膜的沉積速率為5525埃/分鐘,折射率為 2.0 且薄膜應力為.16)(1()90116/(:1112。 此沉積的氮化矽薄膜亦具有335埃/分鐘的WER,且 進行蚀刻以移& 2 5 G埃的氮化_薄膜,並保留溝渠底部角 落的氮化矽,以便與比較實施例A作_比較。蝕刻之前,
沉積在介層窗側壁與介層窗底部的氮化矽厚度約為fieU 邵份的65% ’㈣之後,側壁氮化_的厚度約為部 份的35%,而介層窗底部氮化矽厚度約為fieM部份的 12%。 本發明實施例3 此實施例係進行於改良自本發明實施例1的CVD反 應室中’且握住晶圓的陶瓷基板托架在製程中距離氣體分 佈政管490mil。 在攝氏400度之下將反應器抽氣至〇丨τ〇ΓΓ的壓力, 然後以流速200 seem的矽烷(Siiane)、i 2〇〇 sccm的氨氣 (ammonia)以及600 sccm的氮氣維持在4 〇 Torr。以17〇 瓦的高頻射頻功率(13.56 MHz)施加於氣體分佈歧管,且 250瓦的低頻射頻功率(正弦波,350kHz)施加於陶瓷基板 第46頁 冬紙張尺度適用中國國参樣率(CNS )八4規格(2丨0 X 297公廣) -----Ί--r--裝------訂------诔 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 ^07304 A1 ___ B7 五、發明説明() 托架。氮化矽薄膜的沉積速率為4 6 2 5埃/分鐘,折射率為 2.0 且薄膜應力為 _2x:[〇9dyne/cm2 » 此沉積的氮化矽薄膜亦具有293埃/分鐘的WER,此 結果與本發明實施例2作一比較’顯示當改變高頻射頻輸 入時’低頻射頻功率/總射頻功率之比例與WER成負相 關。如本發明實施例1藉由改變製程壓力可得到較低的 WER以及較低的薄膜應力。 本發明實施例4 此實施例係進行於改良自本發明實施例1的CVD反 應室中’且握住晶圓的陶瓷基板托架在製程中距離氣體分 佈歧管540mil。 在攝氏400度之下將反應器抽氣至〇丨Torr的壓力, 然後以流速200 sccm的矽烷(siiane)、4〇〇 sccm的氨氣 (ammonia)以及4500 sccm的氮氣維持在3 〇 τ〇ΓΓ。以! 7〇 瓦的南頻射頻功率(1 3.5 6 Μ Η ζ)施加於氣體分佈歧管,且 250瓦的雙極性(鋸齒)的三角波低頻射頻功率(5〇kHz, 4040奈秒)施加於陶瓷基板托架,用以增強離子森擊。氮 化矽薄膜的沉積速率為3700埃/分鐘,折射率為2 〇且薄 膜應力為-2xl09dyne/cm2。 此沉積的氮化矽薄膜亦具有232埃/分鐘的WER,且 進行蚀刻以移除250埃的氮化矽薄膜,並保留溝渠底部角 落的氮化矽,以便與比較實施例A作一比較。蝕刻之前, 沉積在介層窗側壁與介層窗底部的氮化矽厚度約為field (請先閱讀背面之:π意事項再填寫本頁) -裝.
、1T 第47頁
經濟部中央襟準局員工消費合作杜印製 407304 - 五·、發明説明() 邵份的57°/。,蝕刻之後’側壁氮化矽的厚度約為field部 份的41 % ’而介層窗底部氮化矽厚度约為field部份的 1 8 % 〇 當上述實施例為本發明的部份較佳實施例時,本發明 的其他或更進一步的實施例並未超出本發明的基本範圍 外’例如’使用脈衝電漿’針對電漿密度調整電漿化學作 用以進一步改善薄膜特性。用於此脈衝電漿製程中的高頻 波形顯示於第1 8圖,一個1 3 ·56ΜΗζ的波形於開啟與關閉 週期中交互變換,此波形於開啟週期中形成電漿(plasma creating reactive species),於關閉週期中以電漿化學作用 控制沉積。開啟/關閉時間比係指工作週期(duty cycle)。 脈衝電漿沉積技術可應用於許多CVD製程中,而且對於 沉積非晶型的氟破化合物(fluorocarbon),以及低介電常數 的薄膜特別有用。另一實施例中,阻抗調整器1 08係用以 調整CVD反應室的阻抗,此CVD反應室係使用其他方法 (包含混頻射頻功率)形成電漿,並以電漿轟擊成長中的薄 膜。阻抗調整器108作為附加,,旋鈕,'(knob)的唯一要求是 電漿的阻抗隨著製程參數而改變。這些選擇均包含於本發 明的範圍内》 第幼頁 -----------^------^------^ . - (請先閲讀背面之注意事項再填寫本頁) - r | E J / ? 曙
Claims (1)
- 871 mn〇4 ab8s C8 -—^_ 六、申請專利範圍 ι·-種基板處理系統,至少包含: '儿積反應室,其包含一反應區; 一氣體分佈系統,包含一進氣歧管,該進氣歧管包 含一第一射頻電極且供應一種或多種反應性氣體至該 反應區’该進氣歧管包含複數個孔洞,每一該孔洞至少 ^ ^ 朝向破反應區的出氣端以及遠離該出氣端的進 氣端’且該出氣端的直徑大於該進氣端的直徑: 一基板括架,用以放置一基板,位於該反應區中, 且该基板托架至少包含一第二射頻電極; 一’混頻射頻電源供應器,至少包含一高頻射頻電源 以及一低頻射頻電源,該高頻射頻電源耦合於該第一電 極且该低頻射頻電源耦合於該第二電極;及 一減波及匹配電路,將該高頻射頻電源產生的高頻 波形與該低頻射頻電源產生的低頻波形分離。 2. 如申請專利範圍第1項所述之基板處理系統,其中上述 之低頻射頻電源產生一波形,該波形有助於朝向該基板 托架的離子森擊且防止共振(harmonics)的形成。 經濟部中央標準局員工消f合作社印製 -----^-----------II f I (請先閱讀背面之注項再填寫本頁) 3. 如申請專利範圍第2項所述之基板處理系統,其中上述 之低頻射頻電源提供三角波、雙極性波至該基板托架。 4. 如申請專利範圍第1項所述之基板處理系統,其中每一 上述之孔洞具有錐形的垂直剖面。 第49頁 本紙張尺度適用中國國家標準(CNS ) A4说格(210X297公嫠) 經濟部中央標準局員工消費合作社印製 Λ8 <〇n〇4 i 夂、申請專利範圍 5 ·如申請專利範圍第4項所述之基板處理系統,其中上述 之基板托架至少包含一陶竟材料’且其中上述之第二射 頻電極係嵌於該陶瓷材料中。 6.—種於基板處理反應室中沉積薄膜於基板上的方法,該 方法至少包含下列步鄉· 將一種或多種反應性氣體通入至該基板處理反應室 的反應區,藉由氣體分佈系統之進氣歧管的複數個孔 洞,每一該孔洞至少包含一朝向該反應區的出氣端以及 遠離此出氣端的進氣端,且該出氣端的直徑大於該進氣 端的直徑; 形成電漿,自該一種或多種反應性氣體,藉由施加 高頻射頻電源至該進氣歧管;且 施加低頻射頻電源至支撐基板之基板托架的電極, 以控制該基板的離子轟擊。 7 _如申請專利範圍第6項所述之方法,其中每一上述孔洞 具有錐形的垂直剖面。 8. 如申請專利範圍第6項所述之方法,其中上述之基板托 架至少包含一陶瓷材料,且其中上述之電極係嵌於該陶 瓷材料中。 9. 如申請專利範固第6項所述之方法,其中上述之低頻射 _ 第50頁 本紙張尺度適用中國國家榡準(CNS ) Λ4規210X297公釐) --------^--νΛ------iT------i — (請先閱讀背面之注項再填寫本頁)8 88 8 A BCD 經濟部中央標隼局員工消f合作社印製 頻電源產生三角雙極性波。 1 〇·如申請專利範固第6項所述之方法,其中上述之低頻射 頻功率與總射頻功率之比例大於50%。 1 1.如申請專利範園第6項所述之方法,其中上述之一種或 多種反應性氣體至少包含矽烷(sUane)、氨氣(ammonia) 以及氮氣。 1 2 ·如申請專利範圍第i!項所述之方法’其中上述之低頻 射頻功率與總射頻功率之比例大於3 5 %。 1 3 ·如申請專利範圍第11項所述之方法,其中上述之低頻 射頻功率與總射頻功率之比例大於50%。 1 4 ·如申請專利範圍第1 1項所述之方法’其中上述之反應 區於沉積薄膜時壓力保持在2.0至30.torr。 1 5 .如申請專利範圍第丨1項所述之方法,其中上述之反應 區於沉積薄膜時壓力保持在2.5至3 0.torr。 第51頁 本紙張尺度適用中國國家標準(CNS ) A4说格(2丨0X297公釐) (請先閲讀背面之注意事項再填寫本頁) ,1T 峻--
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/980,520 US6098568A (en) | 1997-12-01 | 1997-12-01 | Mixed frequency CVD apparatus |
Publications (1)
Publication Number | Publication Date |
---|---|
TW407304B true TW407304B (en) | 2000-10-01 |
Family
ID=25527622
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW087119769A TW407304B (en) | 1997-12-01 | 1998-11-27 | Mixed frequency CVD process and apparatus |
Country Status (7)
Country | Link |
---|---|
US (2) | US6098568A (zh) |
EP (1) | EP1036214B1 (zh) |
JP (1) | JP4365528B2 (zh) |
KR (1) | KR100583606B1 (zh) |
DE (1) | DE69819030T2 (zh) |
TW (1) | TW407304B (zh) |
WO (1) | WO1999028533A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI505752B (zh) * | 2008-09-24 | 2015-10-21 | Tokyo Electron Ltd | A substrate processing apparatus and a substrate processing method |
Families Citing this family (112)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7004107B1 (en) * | 1997-12-01 | 2006-02-28 | Applied Materials Inc. | Method and apparatus for monitoring and adjusting chamber impedance |
JPH11193470A (ja) * | 1997-12-26 | 1999-07-21 | Canon Inc | 堆積膜形成装置及び堆積膜形成方法 |
US6136703A (en) * | 1998-09-03 | 2000-10-24 | Micron Technology, Inc. | Methods for forming phosphorus- and/or boron-containing silica layers on substrates |
US6326861B1 (en) * | 1999-07-16 | 2001-12-04 | Feltech Corporation | Method for generating a train of fast electrical pulses and application to the acceleration of particles |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6857387B1 (en) * | 2000-05-03 | 2005-02-22 | Applied Materials, Inc. | Multiple frequency plasma chamber with grounding capacitor at cathode |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
US6622286B1 (en) * | 2000-06-30 | 2003-09-16 | Lam Research Corporation | Integrated electronic hardware for wafer processing control and diagnostic |
US6777037B2 (en) * | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US7085616B2 (en) * | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6664202B2 (en) | 2002-04-18 | 2003-12-16 | Applied Materials Inc. | Mixed frequency high temperature nitride CVD process |
KR20040007963A (ko) * | 2002-07-15 | 2004-01-28 | 삼성전자주식회사 | 단원자층 증착 반응장치 |
US7087537B2 (en) * | 2004-03-15 | 2006-08-08 | Sharp Laboratories Of America, Inc. | Method for fabricating oxide thin films |
US6811831B1 (en) * | 2002-11-20 | 2004-11-02 | Silicon Magnetic Systems | Method for depositing silicon nitride |
US7238393B2 (en) * | 2003-02-13 | 2007-07-03 | Asm Japan K.K. | Method of forming silicon carbide films |
US7049751B2 (en) * | 2003-07-16 | 2006-05-23 | Advanced Energy Industries, Inc | Termination of secondary frequencies in RF power delivery |
US6995545B2 (en) * | 2003-08-18 | 2006-02-07 | Mks Instruments, Inc. | Control system for a sputtering system |
US7098428B1 (en) * | 2004-02-04 | 2006-08-29 | Brent Elliot | System and method for an improved susceptor |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
US7079740B2 (en) * | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US20050199585A1 (en) * | 2004-03-12 | 2005-09-15 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for metal etch hardmask application |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
EP1789605A2 (en) * | 2004-07-12 | 2007-05-30 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US20060105106A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US20080314320A1 (en) * | 2005-02-04 | 2008-12-25 | Component Re-Engineering Company, Inc. | Chamber Mount for High Temperature Application of AIN Heaters |
US7480974B2 (en) | 2005-02-15 | 2009-01-27 | Lam Research Corporation | Methods of making gas distribution members for plasma processing apparatuses |
GB0508706D0 (en) * | 2005-04-28 | 2005-06-08 | Oxford Instr Plasma Technology | Method of generating and using a plasma processing control program |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US7885774B2 (en) * | 2005-06-10 | 2011-02-08 | Bird Technologies Group Inc. | System and method for analyzing power flow in semiconductor plasma generation systems |
US20070042131A1 (en) * | 2005-08-22 | 2007-02-22 | Applied Materials, Inc., A Delaware Corporation | Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films |
KR100734775B1 (ko) * | 2005-09-15 | 2007-07-04 | 주식회사 아이피에스 | 샤워헤드 |
US7829159B2 (en) * | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
WO2007082396A1 (de) * | 2006-01-18 | 2007-07-26 | Oc Oerlikon Balzers Ag | Vorrichtung zur entgasung eines scheibenförmigen substrates |
US20070169703A1 (en) * | 2006-01-23 | 2007-07-26 | Brent Elliot | Advanced ceramic heater for substrate processing |
DE102006005128B4 (de) * | 2006-02-04 | 2008-09-25 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Vorrichtung zur Lastanpassung |
KR100752622B1 (ko) * | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
US7833358B2 (en) * | 2006-04-07 | 2010-11-16 | Applied Materials, Inc. | Method of recovering valuable material from exhaust gas stream of a reaction chamber |
DE102006019881B4 (de) * | 2006-04-28 | 2017-04-06 | Advanced Micro Devices, Inc. | Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung |
US20070286954A1 (en) * | 2006-06-13 | 2007-12-13 | Applied Materials, Inc. | Methods for low temperature deposition of an amorphous carbon layer |
US20080083979A1 (en) * | 2006-10-10 | 2008-04-10 | Sumitomo Electric Industries, Ltd. | Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
DE112008000169T5 (de) * | 2007-01-12 | 2010-01-14 | Veeco Instruments Inc. | Gasbehandlungssysteme |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US20080254233A1 (en) * | 2007-04-10 | 2008-10-16 | Kwangduk Douglas Lee | Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
JP4983575B2 (ja) * | 2007-11-30 | 2012-07-25 | パナソニック株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US20090258162A1 (en) * | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
CN101999158A (zh) * | 2008-04-12 | 2011-03-30 | 应用材料股份有限公司 | 等离子体处理设备与方法 |
US20090255798A1 (en) * | 2008-04-12 | 2009-10-15 | Gaku Furuta | Method to prevent parasitic plasma generation in gas feedthru of large size pecvd chamber |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
KR200449200Y1 (ko) * | 2008-06-03 | 2010-06-24 | 주식회사 테스 | 화학기상증착장치의 고주파 차단용 필터유닛 |
JP5156552B2 (ja) * | 2008-09-08 | 2013-03-06 | 富士フイルム株式会社 | ガスバリアフィルムの製造方法 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US20100177454A1 (en) * | 2009-01-09 | 2010-07-15 | Component Re-Engineering Company, Inc. | Electrostatic chuck with dielectric inserts |
KR101315950B1 (ko) * | 2009-06-24 | 2013-10-08 | 엘지전자 주식회사 | 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법 |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US20120009765A1 (en) * | 2010-07-12 | 2012-01-12 | Applied Materials, Inc. | Compartmentalized chamber |
DE102011004782A1 (de) | 2011-02-25 | 2012-08-30 | Harting Kgaa | Ablösbare Mikro- und Nanobauteile für platzsparenden Einsatz |
US8861167B2 (en) | 2011-05-12 | 2014-10-14 | Global Plasma Solutions, Llc | Bipolar ionization device |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
JP5172993B2 (ja) * | 2011-06-10 | 2013-03-27 | シャープ株式会社 | テクスチャ構造の形成方法および太陽電池の製造方法 |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
TWI525887B (zh) * | 2011-11-14 | 2016-03-11 | 財團法人金屬工業研究發展中心 | 導氣電極板 |
KR101339981B1 (ko) | 2011-11-29 | 2013-12-11 | (주)티티에스 | 기판 지지 모듈 |
US9165788B2 (en) | 2012-04-06 | 2015-10-20 | Novellus Systems, Inc. | Post-deposition soft annealing |
US9412579B2 (en) * | 2012-04-26 | 2016-08-09 | Applied Materials, Inc. | Methods and apparatus for controlling substrate uniformity |
US9117668B2 (en) * | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
US9388491B2 (en) | 2012-07-23 | 2016-07-12 | Novellus Systems, Inc. | Method for deposition of conformal films with catalysis assisted low temperature CVD |
US8895415B1 (en) | 2013-05-31 | 2014-11-25 | Novellus Systems, Inc. | Tensile stressed doped amorphous silicon |
KR102298032B1 (ko) * | 2013-09-30 | 2021-09-02 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법 |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
JP6497248B2 (ja) | 2015-07-13 | 2019-04-10 | 住友電気工業株式会社 | ウェハ保持体 |
WO2017184223A1 (en) * | 2016-04-22 | 2017-10-26 | Applied Materials, Inc. | Substrate support pedestal having plasma confinement features |
US11357093B2 (en) * | 2016-12-23 | 2022-06-07 | Plasmatreat Gmbh | Nozzle assembly, device for generating an atmospheric plasma jet, use thereof, method for plasma treatment of a material, in particular of a fabric or film, plasma treated nonwoven fabric and use thereof |
KR20200116160A (ko) | 2018-02-23 | 2020-10-08 | 램 리써치 코포레이션 | 고 전력 회로로부터 연결해제 없이 커패시턴스 측정 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10304663B1 (en) * | 2018-07-19 | 2019-05-28 | Lam Research Corporation | RF generator for generating a modulated frequency or an inter-modulated frequency |
GB201813467D0 (en) * | 2018-08-17 | 2018-10-03 | Spts Technologies Ltd | Method of depositing silicon nitride |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11572624B2 (en) * | 2018-12-13 | 2023-02-07 | Xia Tai Xin Semiconductor (Qing Dao) Ltd. | Apparatus and method for semiconductor fabrication |
US11515123B2 (en) * | 2018-12-21 | 2022-11-29 | Advanced Energy Industries, Inc. | Apparatus and system for modulated plasma systems |
US11804362B2 (en) * | 2018-12-21 | 2023-10-31 | Advanced Energy Industries, Inc. | Frequency tuning for modulated plasma systems |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
CN113445029A (zh) * | 2020-03-25 | 2021-09-28 | 拓荆科技股份有限公司 | 双面沉积设备及方法 |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
Family Cites Families (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4284489A (en) * | 1978-09-28 | 1981-08-18 | Coulter Systems Corporation | Power transfer network |
US4207137A (en) * | 1979-04-13 | 1980-06-10 | Bell Telephone Laboratories, Incorporated | Method of controlling a plasma etching process by monitoring the impedance changes of the RF power |
US4500408A (en) * | 1983-07-19 | 1985-02-19 | Varian Associates, Inc. | Apparatus for and method of controlling sputter coating |
US4695700A (en) * | 1984-10-22 | 1987-09-22 | Texas Instruments Incorporated | Dual detector system for determining endpoint of plasma etch process |
AT388814B (de) * | 1985-11-15 | 1989-09-11 | Paar Anton Kg | Verfahren und vorrichtung zum erzeugen eines hf-induzierten edelgasplasmas |
US4947085A (en) * | 1987-03-27 | 1990-08-07 | Mitsubishi Denki Kabushiki Kaisha | Plasma processor |
JPH0630351B2 (ja) * | 1987-03-31 | 1994-04-20 | 株式会社東芝 | 半導体製造装置のクリ−ニング終点判定方法 |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
US5267020A (en) * | 1987-10-06 | 1993-11-30 | Stanford University | Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 ghz |
US5121067A (en) * | 1987-10-06 | 1992-06-09 | Board Of Regents Of Leland Stanford University | Directional sampling bridge |
US5352994A (en) * | 1987-10-06 | 1994-10-04 | The Board Of Trustees Of The Leland Stanford Junior University | Gallium arsenide monolithically integrated nonlinear transmission line impedance transformer |
US5256996A (en) * | 1987-10-06 | 1993-10-26 | The Board Of Trustees Of The Leland Stanford, Junior University | Integrated coplanar strip nonlinear transmission line |
US5378939A (en) * | 1987-10-06 | 1995-01-03 | The Board Of Trustees Of The Leland Stanford Junior University | Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 Ghz |
JPH0791645B2 (ja) * | 1989-04-28 | 1995-10-04 | 株式会社日立製作所 | 薄膜形成装置 |
JPH02298024A (ja) * | 1989-05-12 | 1990-12-10 | Tadahiro Omi | リアクティブイオンエッチング装置 |
DE69032952T2 (de) * | 1989-11-15 | 1999-09-30 | Haruhisa Kinoshita | Trocken-Behandlungsvorrichtung |
US5155547A (en) * | 1990-02-26 | 1992-10-13 | Leco Corporation | Power control circuit for inductively coupled plasma atomic emission spectroscopy |
US5238630A (en) | 1990-02-26 | 1993-08-24 | The Dow Chemical Company | In-mold labeling method |
US5888414A (en) * | 1991-06-27 | 1999-03-30 | Applied Materials, Inc. | Plasma reactor and processes using RF inductive coupling and scavenger temperature control |
US5189343A (en) * | 1991-08-27 | 1993-02-23 | Everbrite, Inc. | High frequency luminous tube power supply having neon-bubble and mercury-migration suppression |
EP0605980A3 (en) * | 1993-01-07 | 1995-08-02 | Ramtron Int Corp | Layering process for depositing silicon nitride and silicon oxynitride. |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5364522A (en) * | 1993-03-22 | 1994-11-15 | Liang Wang | Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such |
EP0653501B1 (en) * | 1993-11-11 | 1998-02-04 | Nissin Electric Company, Limited | Plasma-CVD method and apparatus |
US5556549A (en) * | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
US5474648A (en) * | 1994-07-29 | 1995-12-12 | Lsi Logic Corporation | Uniform and repeatable plasma processing |
US5576629A (en) * | 1994-10-24 | 1996-11-19 | Fourth State Technology, Inc. | Plasma monitoring and control method and system |
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5656123A (en) * | 1995-06-07 | 1997-08-12 | Varian Associates, Inc. | Dual-frequency capacitively-coupled plasma reactor for materials processing |
US5968379A (en) * | 1995-07-14 | 1999-10-19 | Applied Materials, Inc. | High temperature ceramic heater assembly with RF capability and related methods |
US5633073A (en) * | 1995-07-14 | 1997-05-27 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and eutectic connection |
JP3663716B2 (ja) * | 1996-02-05 | 2005-06-22 | 株式会社日立製作所 | 四重極イオン蓄積リング |
KR100193862B1 (ko) * | 1996-03-19 | 1999-06-15 | 윤종용 | 안정된 주파수를 얻기 위한 주파수변환기 |
US5994209A (en) * | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
-
1997
- 1997-12-01 US US08/980,520 patent/US6098568A/en not_active Expired - Lifetime
-
1998
- 1998-11-25 JP JP2000523403A patent/JP4365528B2/ja not_active Expired - Lifetime
- 1998-11-25 KR KR1020007005979A patent/KR100583606B1/ko not_active IP Right Cessation
- 1998-11-25 EP EP98960368A patent/EP1036214B1/en not_active Expired - Lifetime
- 1998-11-25 WO PCT/US1998/024928 patent/WO1999028533A1/en active IP Right Grant
- 1998-11-25 DE DE69819030T patent/DE69819030T2/de not_active Expired - Fee Related
- 1998-11-27 TW TW087119769A patent/TW407304B/zh not_active IP Right Cessation
-
2000
- 2000-06-02 US US09/585,258 patent/US6358573B1/en not_active Expired - Lifetime
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI505752B (zh) * | 2008-09-24 | 2015-10-21 | Tokyo Electron Ltd | A substrate processing apparatus and a substrate processing method |
Also Published As
Publication number | Publication date |
---|---|
US6098568A (en) | 2000-08-08 |
US6358573B1 (en) | 2002-03-19 |
JP2001525604A (ja) | 2001-12-11 |
DE69819030T2 (de) | 2004-06-24 |
DE69819030D1 (de) | 2003-11-20 |
KR20010032695A (ko) | 2001-04-25 |
KR100583606B1 (ko) | 2006-05-26 |
JP4365528B2 (ja) | 2009-11-18 |
EP1036214B1 (en) | 2003-10-15 |
WO1999028533A1 (en) | 1999-06-10 |
EP1036214A1 (en) | 2000-09-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW407304B (en) | Mixed frequency CVD process and apparatus | |
JP4485050B2 (ja) | 基板処理システムおよび基板上に被膜を堆積させるための方法 | |
US6041734A (en) | Use of an asymmetric waveform to control ion bombardment during substrate processing | |
US6136388A (en) | Substrate processing chamber with tunable impedance | |
TW518693B (en) | In situ deposition and integration of silicon nitride in a high density plasma reactor | |
TW406358B (en) | Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing | |
TW416100B (en) | Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system | |
CN105914146B (zh) | 用于在蚀刻氮化硅时实现超高选择比的方法 | |
TW478098B (en) | Barrier layer deposition using HDP-CVD | |
TW412779B (en) | Lid assembly for high temperature processing chamber | |
JP4323583B2 (ja) | 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス | |
US6251758B1 (en) | Construction of a film on a semiconductor wafer | |
TW438903B (en) | Methods and apparatus for depositing premetal dielectric layer at subatmospheric and high temperature conditions | |
US6704913B2 (en) | In situ wafer heat for reduced backside contamination | |
TWI493622B (zh) | 改善電漿輔助化學氣相沈積(pecvd)膜的程序控制及膜保形性之方法 | |
US7897205B2 (en) | Film forming method and film forming apparatus | |
US6294466B1 (en) | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices | |
US20020001976A1 (en) | Chamber for constructing a film on a semiconductor wafer | |
TW475198B (en) | Method for improving barrier layer adhesion to hdp-fsg thin films | |
TW495849B (en) | Controlled method of silicon-rich oxide deposition using HDP-CVD | |
US20120153442A1 (en) | Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device | |
TW507015B (en) | In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step | |
WO2010038885A1 (ja) | 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置 | |
CN109868459A (zh) | 一种半导体设备 | |
JPH10144683A (ja) | Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |