KR20010032695A - 혼합 주파수의 화학기상증착 방법 및 장치 - Google Patents

혼합 주파수의 화학기상증착 방법 및 장치 Download PDF

Info

Publication number
KR20010032695A
KR20010032695A KR1020007005979A KR20007005979A KR20010032695A KR 20010032695 A KR20010032695 A KR 20010032695A KR 1020007005979 A KR1020007005979 A KR 1020007005979A KR 20007005979 A KR20007005979 A KR 20007005979A KR 20010032695 A KR20010032695 A KR 20010032695A
Authority
KR
South Korea
Prior art keywords
power
low frequency
electrode
chamber
gas
Prior art date
Application number
KR1020007005979A
Other languages
English (en)
Other versions
KR100583606B1 (ko
Inventor
세바스찬 라욱스
만다 무드홀카
윌리엄 엔. 테일러
마크 포더
쥬디 휴앙
데이비드 실베티
데이비드 쳉
케빈 페어베른
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010032695A publication Critical patent/KR20010032695A/ko
Application granted granted Critical
Publication of KR100583606B1 publication Critical patent/KR100583606B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

세라믹 기판 홀더(32)를 포함하는 기판 프로세싱 시스템(10)은 기판 홀더 내에 내장된 RF 전극과 기판 홀더로부터 이격 분리된 가스 유입 매니폴드(42)를 갖는다. 가스 유입 매니폴드는 프로세싱 시스템 내의 기판 프로세싱 챔버(30)의 반응 구역으로 다중 원뿔형 홀(42)을 통해 하나 이상의 프로세스 가스를 공급하고 또한 제2 RF 전극으로서 작용한다. 각각의 원뿔형 홀은 반응 구역으로 개방하는 배출구와 상기 배출구보다 직경이 작고 배출구로부터 이격 분리된 유입구를 갖는다. 혼합 주파수 RF 파워 전원은 고주파수 RF 파워 전원이 가스 유입 매니폴드 전극에 연결되고 저주파수 RF 파워 전원이 기판 홀더 전극에 연결된 상태로 기판 프로세싱 시스템에 연결된다. RF 필터 및 정합 네트워크는 저주파수 파형으로부터 고주파수 파형을 분리시킨다. 이러한 구조는 큰 프로세스 관리 양식에 적합하고 실리콘 질화물 막을 포함하고 이전에는 얻을 수 없는 물리적 특성을 갖는 막의 증착을 제공한다.

Description

혼합 주파수의 화학기상증착 방법 및 장치 {MIXED FREQUENCY CVD PROCESS AND APPARATUS}
현대 반도체 장치의 제조에서 주요한 단계 중 하나는 가스의 화학반응에 의해 반도체 기판 상에 박막을 형성시키는 것이다. 이러한 증착 프로세스는 화학기상증착 또는 CVD로서 언급된다. 종래 열적 CVD 프로세스는 기판 표면에 반응 가스를 공급하여 열적으로 유도된 화학 반응이 발생하여 소정 막을 형성한다.
기판에 걸쳐 층을 증착시키는 다른 방법은 플라즈마 여기 CVD(PECVD) 기술이다. 플라즈마 여기 CVD 기술은 기판 표면 근처의 반응 구역에 무선 주파수(RF) 에너지의 인가에 의한 반응성 가스의 여기(excitation) 및/또는 분해를 촉진시키고, 이에 의해서 플라즈마를 형성시킨다. 플라즈마에서 물질(species)의 높은 활동도는 발생되려는 화학반응에 요구된 에너지를 감소시키고, 따라서 종래 열적 CVD 프로세스에 비교하여 이러한 CVD 프로세스에 요구된 온도를 저하시킨다. 몇몇 PECVD 프로세스의 상대적으로 낮은 온도는 반도체 제작업자가 몇몇 집적회로의 제작시 전체적인 열부담을 낮추도록 한다.
반도체 장치 구조는 이러한 장치들이 수십년 전에 먼저 도입되었기 때문에 크기가 상당히 축소되었다. 이 후에, 집적회로는 일반적으로 18개월/반 크기 규칙(보통 "모어의 법칙"이라 칭함)을 추종하였고, 이것은 칩 상에 적합한 장치의 수가 매 18개월 4배로 되는 것을 의미한다. 오늘날 웨이퍼 제작 설비는 0.5-㎛ 심지어 0.25-㎛ 형상을 갖는 집적회로를 천편일률적으로 생산하고 있으며, 미래의 설비는 곧 더 작은 형상을 갖는 장치를 제작할 것이다.
이러한 크기 축소는 PECVD 프로세싱용으로 사용된 기판 프로세싱 챔버와 같은 반도체 제작 장치와 관련된 기술의 진보에 의해 부분적으로 가능하였다. 몇몇 기술 진보는 오늘날 제작 시설에 사용하는 특정 CVD 증착 시스템의 설계 및 제작에 반영되었지만, 다른 것들은 많은 단계의 계발 중에 있고 미래 제작 시설을 통해 광범위하게 사용 중에 있을 것이다.
오늘날 제작 시설에 보통 사용된 한 기술 진보는 고주파수 및 저주파수 RF 파워가 플라즈마를 생성시키고 기판의 이온 충격을 촉진시키기 위하여 사용되는 혼합 주파수 PECVD로서 보통 언급되는 PECVD 기술의 사용을 포함한다. 하나의 이러한 혼합 주파수 방법은 제1 전극으로 작용하는 금속성 가스 분배 매니폴드에 고주파수 및 저주파수 RF 파워를 결합한다. 이 방법에서, 고주파수 RF 파워의 인가는 반응성 가스를 해리시키는 중요 기구인 반면 저주파수 RF 파워의 인가는 제2 전극으로서도 작용하는 접지된 기판 지지체 상에 위치된 기판의 이온 충격을 촉진시킨다. 다른 혼합 주파수 방법은 고주파수 RF 파워를 가스 분배 매니폴드(제1 전극)에 결합시키고 저주파수 RF 파워를 기판 홀더(제2 전극)에 결합시킨다.
몇몇 현재 입수가능한 PECVD 증착 챔버에 사용된 다른 기술 진보는 챔버로 도입되는 가스의 해리를 증가시키기 위하여 가스 분배 매니폴드에 원뿔형 홀을 사용하는 것이다. 이러한 원뿔형 홀의 더 자세한 설명은 메이 창과, 데이비드 왕과, 죤 화이트와, 단 메이단이 공동 발명자로서 기재되고 "가스 해리를 증가시키고 유전막의 PECVD에 적합한 유입 매니폴드 및 방법" 제하의 미국 특허 제4,854,263호에 포함된다. 상기 '263 특허는 본 특허출원의 양수인인 어플라이드 머티어릴즈에 양되었고, 본 명세서에서 전체적으로 참조문헌으로 합체된다.
상술된 것보다 더욱 최근에 기술 진보의 예는 반응기(reactor)를 고온 작업에 사용될 수 있도록 CVD 챔버에 세라믹을 사용하는 것이다. 이러한 고온 프로세싱용으로 특별히 설계되고 챔버의 다른 특징들 가운데 세라믹 히터 조립체를 포함하는 하나의 CVD 챔버는 상술된 출원 제08/800,896호에 기재되어 있다.
상술된 것과 같은 기술 진보는 구속이 없는 것은 아니다. 예를 들어, 혼합 주파수 PECVD 기술이 다양한 응용에 매우 유익한 것을 증명하였지만, 고주파수 및 저주파수 파형의 동시 적용은 가스 분배 매니폴드에서 고전압 및 아킹(arcing)을 야기시킬 수 있는 간섭을 피하도록 제어되어야 한다. 아킹은 고주파수 전압의 진폭이 증가함에 따라 가스 분배 매니폴드 내 홀 내에서의 백열(glow) 및 증착속도의 감소에 의해서 입증될 수 있다. 아킹은 하나 이상의 하기 기술; 특정 프로세스에 적합한 최소 수준(de minimis level) 이상으로 진공 챔버 내의 압력을 유지, 전체 RF 파워의 30% 미만의 값에 설정된 저주파수 RF 파워로 작동, 및/또는 전체 RF 파워 감소를 사용하여 전형적으로 회피된다.
과거에, 원뿔형 홀이 가스 분배 매니폴드에 연결된 고주파수 및 저주파수 RF 파워 전원을 갖는 혼합 주파수 PECVD 챔버에 사용되는 실험이 실시되었다. 이들 실험을 통해, 아킹 문제점이 막 증착과 실질적으로 간섭되는 위치에서 더욱 증가되었다는 것이 발견되었다. 따라서, 발명자들에게 공지된 모든 혼합 주파수 PECVD 시스템은 가스 분배 매니폴드에 원뿔형보다는 직선형 홀을 사용한다.
따라서, 반도체 제작업자가 원뿔형 홀과 혼합 주파수 PECVD 증착 기술의 이점을 동시에 취할 수 있는 기판 증착 챔버용 기술을 발전시키는 것이 요구된다.
본 출원은 세바스챤 라옥스와, 만다르 무드홀카르와, 윌리암 엔. 테일러가 공동 발명자로서 기재된 "동조가능한 임피던스를 구비한 기판 프로세싱 챔버" 제하의 출원되고 양도된 특허 출원; 세바스챤 라옥스와 만다르 무드홀카르가 공동 발명자로서 기재된 "기판 프로세싱 동안 이온 충격을 제어하는 비대칭 파형의 사용" 제하의 출원되고 양도된 특허 출원; 세바스챤 라옥스와, 만다르 무드홀카르와, 윌리암 엔. 테일러가 공동 발명자로서 기재된 "챔버 임피던스를 모니터링하고 조정하기 위한 방법 및 장치" 제하의 출원되고 양도된 특허 출원에 관한 것이다. 본 출원은 준 짜오와, 챨스 도른페스트와, 탈렉스 사죠토와, 네오니드 셀리우틴과, 스테판 올프와, 리 루오와, 하롤드 모르텐센과 리차드 팔릭카가 공동 발명자로서 기재된 "RF 용량을 갖는 고온 세라믹 히터 조립체 및 관련된 방법" 제하의 1997년 2월 12일 출원된 미국 특허출원 제08/800,096호의 미국 특허 출원에 관한 것이다. 상기 참조 출원 각각은 본 발명의 양수인인 어플라이드 머티어릴스 인크.에 양도되었고, 상기 참조 출원 각각은 여기에 참조문헌으로 합체된다.
본 발명은 진공 챔버에서 화학 기상 증착에 의한 집적회로의 제작에 관한 것이다. 특히, 본 발명은 저온(예를 들어, 약 400℃) 및 고온(예를 들어, 약 580℃ 이상) 프로세싱을 사용하는 고품질 화학기상증착 막(CVD films)의 형성이 가능한 방법 및 장치에 관한 것이다. 본 발명은 특히 보론 포스포러스 실리케이트 글라스(boron phosphorus silicate glass), 포스포러스 실리케이트 글라스 및 플루오린-도핑된 실리케이트 글라스와 같은 도핑된 실리콘 산화물 뿐만 아니라 실리콘 산화물, 실리콘 질화물, 실리콘 질산화물 및 비결정질 실리콘의 PECVD 및 SACVD 증착을 포함하는 TEOS-기저(테트라에틸오소실리케이트; tetrathylorthosilicate) 및 실란-기저 화학물질의 증착에 특히 유용하다. 그러나, 본 발명은 또한 다른 증착 화학물질이 사용될 수 있다.
도 1은 증착 챔버의 단순한 단면도를 포함하는 본 발명에 따른 증착 시스템의 일실시예의 블럭도이다.
도 2는 본 발명의 일실시예에 따라 지지 스템에 부착된 세라믹 페데스탈의 개략적인 단면도이다.
도 3은 내장형 RF 전극을 갖는 바람직한 세라믹 기판 홀더용 금속/세라믹 접속의 개략도이다.
도 4A 및 4B는 가스 분배 매니폴드 내에 포함된 원뿔형 홀의 실시예의 단면도이다.
도 5는 본 발명의 장치에서 저주파수 및 고주파수 RF 파형을 분리시키도록 사용된 RF 필터 및 정합 네트워크를 나타내는 개략적인 블럭도이다.
도 6은 본 발명의 증착 시스템을 제어할 수 있는 사용자와 프로세서 사이의 인터페이스를 도시한 도면이다.
도 7은 도 1의 개략적인 CVD 플라즈마 반응기와 관련하여 사용된 프로세스 제어 컴퓨터 프로그램 산물의 흐름도이다.
도 8A 내지 8D는 실리콘 질화물 막의 증착동안 반응기 임피던스에 대한 프로세스 압력의 효과를 나타내는 도면이다.
도 9는 증착된 실리콘 질화물 막의 습식 에칭 속도와 증착속도에 대한 프로세스 압력의 중요성을 입증하는 실험 결과를 나타낸 도면이다.
도 10A 내지 10D는 실리콘 질화물 막의 증착에 대한 저주파수 파워 전원에 사용된 주파수의 효과를 나타낸 도면이다.
도 11은 챔버의 스파이스(SPICE) 시뮬레이션으로 챔버(30)를 나타내도록 사용된 모델링된 회로를 나타내는 도면이다.
도 12A 및 12B는 위상각과 반응기 임피던스에 대한 히터 용량의 효과를 측정 데이타와 시뮬레이트된 데이타의 비교를 나타낸 도면이다.
도 13은 본 발명에 사용될 때 측벽 스텝 커버리지와, 바닥 스텝 커버리지와, 적합성의 정의를 설명하는 도면이다.
도 14는 막 응력에 대한 히터 용량의 효과를 나타낸 도면이다.
도 15는 전체 RF 파워에 걸쳐 저주파수 파워의 기능으로서 전극 전위를 나타낸 도면이다.
도 16은 실리콘 질화물 막의 증착시 막 응력의 기능으로서 습식 에칭 속도와 전체 RF 파워에 대한 저주파수 RF 파워의 비를 나타낸 도면이다.
도 17A 내지 17D는 실리콘 질화물 막의 증착동안 이온 충격을 제어하도록 사용된 상이한 저주파수 RF 파형을 나타낸 도면이다.
도 18은 본 발명에 따라 펄스형 플라즈마 증착 프로세스에 사용될 수 있는 예시적인 고주파수 RF 파형을 나타낸 도면이다.
본 발명의 자세한 이해를 위해, 상세히 설명될 것이다.
본 발명은 기판 상에 CVD 막을 증착시키기 위한 개선된 방법 및 장치를 제공한다. 장치는 혼합 주파수 RF 파워를 사용하고 원뿔형 홀을 갖는 가스 분배 매니폴드를 포함한다. 아킹용 전위는 저주파수 RF 파워 전원을 기판 홀더에 내장된 전극에 접속시키고 고주파수 RF 파워 전원을 전극으로서 작용하는 가스 분배 매니폴드에 접속시킴으로써 상당히 감소된다. 독자적인 정합 네트워크는 저주파수 파형을 고주파수 파형으로부터 분리하여 파형들 사이의 위상 간섭을 최소화한다.
이러한 특징들은 증착 프로세스가 종래 기판 프로세싱 챔버에서 도달할 수 없는 조건에서 진행하도록 하고 본 발명의 기판 프로세싱 장치가 0.25 및 0.18 ㎛ 프로세스를 포함하는 서브-0.35 ㎛ 증착 프로세스에 사용가능하게 한다.
본 발명의 일 실시예에 따른 기판 프로세싱 시스템은 내장된 RF 전극과 기판 홀더로부터 이격 분리된 가스 유입 매니폴드를 구비한 세라믹 기판 홀더를 포함한다. 가스 유입 매니폴드는 프로세싱 시스템 내의 기판 프로세싱 챔버의 반응 구역으로 다중 원뿔형 홀을 통해 하나 이상의 프로세스 가스를 공급하고 또한 제2 RF 전극으로서 작용한다. 각각의 원뿔형 홀은 반응 구역으로 개방하는 배출구와 상기 배출구보다 직경이 작고 배출구로부터 이격 분리된 유입구를 갖는다. 혼합 주파수 RF 파워 전원은 고주파수 RF 파워 전원이 가스 유입 매니폴드 전극에 연결되고 저주파수 RF 파워 전원이 기판 홀더 전극에 연결된 상태로 기판 프로세싱 시스템에 연결된다. RF 필터 및 정합 네트워크는 저주파수 파형으로부터 고주파수 파형을 분리시킨다. 이러한 구조는 큰 프로세스 관리 양식에 적합하고 실리콘 질화물 막을 포함하고 이전에는 얻을 수 없는 물리적 특성을 갖는 막의 증착을 제공한다.
본 발명의 방법의 하나의 바람직한 실시예에서, 실리콘 질화물 막이 증착된다. 실란, 암모니아 및 분자 질소를 포함하는 프로세스 가스는 원뿔형 홀을 갖는 가스 분배 매니폴드를 통해 도입되고 플라즈마는 혼합 주파수 RF 파워를 사용하여 프로세스 가스로부터 형성된다. 고주파수(HF) 구성요소는 가스 분배 매니폴드에 인가되는 반면 저주파수(LF) 구성요소는 바닥 전극에 인가된다. 저온 프로세싱 조건 하에서 본 실시예에 따라 증착된 실리콘 질화물 막은 170 Å/min 정도로 낮은 습식 에칭 속도(WER)를 가질 수 있는 반면 2:1 이상의 종횡비에서 탁월한 스텝 커버리지 특성을 유지한다는 것이 증명되었다. 고온 프로세싱 조건(580 ℃ 이상) 하에서 본 실시예에 따라 증착된 실리콘 질화물 막은 15 Å 미만의 WER을 가질 수 있다는 것이 증명되었다.
부분적으로, 이들 실리콘 질화물 막의 양호한 물리적 특징은 막들이 다른 챔버에서 이전에 가능하지 않았던 압력 및 RF 파워 수준에서 증착될 수 있기 때문에 달성된다. 예를 들어, 보다 바람직한 실시예에서, 전체 RF 파워에 대한 LF 파워의 비는 50% 미만인 반면, 다른 바람직한 실시예에서, 실리콘 질화물 증착 시퀀스는 2 내지 5 torr 압력에서 발생한다. 물리적 특성은 또한 원뿔형 홀의 사용을 통해 부분적으로 달성되어, 생성된 플라즈마의 플라즈마 밀도 및 이온화 효율을 순차적으로 증가시켜 막의 프로세스 가스에 사용되고자 하는 NH3와 비교하여 N2의 양을 증가시킨다. 프로세스 가스에서 NH3함량의 감소는 막에서 수소를 저하시키고 WER을 저하시킨다.
다른 실시예에서, 삼각형 또는 톱니형 파형으로도 언급되는 바이폴라 저주파수 비대칭 RF 파형은 이온 충격을 제어하도록 사용된다. 이러한 비대칭 RF 파형은 기판에서 이온 충격을 향상시키면서 본 발명자들이 발견한 플라즈마 외피 불안정성을 유발시키는 고조파의 형성을 방해한다.
본 발명의 다른 실시예에서, 기판 프로세싱 시스템은 반응 구역을 갖는 증착 챔버와, 증착 챔버의 반응 구역 내에서 플라즈마를 형성하기 위한 플라즈마 파워 전원과, 임피던스 튜닝 시스템을 포함한다. 플라즈마는 임피던스 튜닝 시스템에 의해 제2 임피던스 레벨로 조정될 수 있는 제1 임피던스 레벨을 갖는다. 이러한 조정은 반응 구역 내에서 증착된 막의 특성을 변경시키고 동조시키도록 사용된 프로세스 엔지니어에게 적합한 다른 방법을 제공하는 추가의 "제어 노브"로서 작용한다. 본 실시예의 바람직한 영역에서, 임피던스 튜닝 시스템은 다양한 캐패시터를 포함한다.
본 발명의 또 다른 실시예에서, 기판 프로세싱 시스템은 반응 구역을 갖는 증착 챔버와, 기판 프로세싱 동안 반응 구역 내에 기판을 유지하기 위한 기판 홀더와, 반응 구역으로 프로세스 가스를 공급하기 위한 가스 분배 시스템과, 반응 구역 내에서 프로세스 가스로부터 플라즈마를 형성하기 위한 플라즈마 파워 전원과, 증착 챔버에 전기적으로 접속되고 상기 플라즈마의 임피던스 레벨을 측정할 수 있는 임피던스 모니터를 포함한다. 기판 프로세싱 시스템은 또한 입력치로서 측정된 임피던스 레벨을 수용한느 컴퓨터 프로세서도 포함한다. 프로세서는 가스 분배 시스템, 압력 제어 시스템 및/또는 RF 발생기와 같은 기판 프로세싱 챔버의 다양한 시스템에 연결될 수 있고 측정된 임피던스 레벨에 따라 프로세싱 조건을 조정할 수 있다. 이러한 조정은, 예를 들어, 챔버의 측정된 임피던스가 실행(run)의 과정 동안 변할 수 있는 경우에 확대된 웨이퍼 실행(예를 들어, 2000 웨이퍼 실행)의 말기에 이루어질 수 있다. 본 예에서, 프로세서는 챔버의 임피던스 레벨이 미리 정해진 범위의 외부로 드리프트된다면 또는 될 때 프로세싱 조건을 조정할 것이다. 종정은 챔버 압력, 온도, 플라즈마 파워 레벨(예를 들어, RF 파워 레벨) 또는 유사한 프로세스 가변을 조정할 수 있다. 또한, 기판 프로세싱 시스템이 임피던스 튜닝 시스템을 포함한다면, 조정은 그 시스템을 갖는 챔버의 임피던스를 직접 조정할 수 있다.
본 발명의 장점 및 특징 뿐만 아니라 상기 및 다른 실시예는 하기 내용 및 첨부된 도면에 관련하여 상세 설명된다.
1. CVD 시스템(10)의 하드웨어
도 1을 참조하면, 본 발명에 따른 CVD 시스템(10)은 다른 주요 구성요소 가운데 반응 챔버(30)와, 진공 시스템(88)과, 가스 이송 시스템(89)과, RF 파워 전원(5)과, 열교환 시스템(6)과, 세라믹 페데스탈(pedestal; 32)과, 프로세서(85)로 구성된다. 본 발명의 설명에 특히 관심있는 것은 가스 이송 시스템(89)으로부터 프로세스 가스를 챔버(30)의 반응 구역(58)으로 도입시키는 가스 분배 매니폴드[유입 매니폴드 및 샤워헤드(showerhead)로서 언급됨](40)의 형상과 RF 파워 전원(5)의 형상 및 매니폴드(40)와 페데스탈(32)에 내장된 전극까지의 접속이다. 따라서, 이들 부품들이 먼저 설명될 것이고 CVD 시스템(10)의 다른 부품들은 본 발명을 이해하는 데 필요할 때 부수적으로 설명될 것이다.
A. 가스 이송 시스템(89)
도 1을 참조하면, 가스 이송 시스템(89)은 가스 라인(92A 내지 92C)을 경유하여 챔버(30)로 가스를 이송한다. 가스 이송 시스템(89)은 가스 공급 판넬(90)과, 특정 분야에 적합하게 사용된 소정 프로세스에 따라 변할 수 있는 (SiH4또는 N2와 같은) 기체, 또는 (TEOS와 같은) 액체, 또는 고체를 포함하는 가스 또는 액체 또는 고체 공급원(91A 내지 91C)(필요하다면 추가 공급원이 더해질 수 있음)를 포함한다. 일반적으로, 프로세스 가스 각각에 적합한 공급 라인은 각각의 공급 라인을 통과하는 가스 또는 액체의 유동을 측량하는 매체 유동 조절기(미도시) 뿐만 아니라 프로세스 가스의 유동을 자동적으로 또는 수동으로 차단(shut-off)할 수 있는 차단 밸브(미도시)를 포함한다. 예를 들어, 실란(SiH4), 헬륨(He) , 질소(N2), 및/또는 다른 도펀트(dopant) 또는 반응 물질 공급원을 포함하는 프로세스 및 캐리어 가스가 반응 챔버에 공급되는 속도는 온도-기저 액체 또는 가스 매체 유량 조절기(MFCs)(미도시) 및/또는 밸브(미도시)에 의해 조절된다. 물론, 다른 구성요소들이 증착 및 청정 공급원으로서 사용될 수 있다는 것이 인정된다. 다른 실시예에서, 프로세스 및 캐리어 가스가 반응 챔버(30)에 공급되는 속도는 압력-기저 고정 또는 가변 구멍에 의해 조절될 수 있다. 유독 가스(예를 들어, 오존 또는 할로겐화 가스)가 프로세스에 사용될 때, 다수의 차단 밸브가 종래의 구조에서 각각의 가스 공급 라인 상에 배치될 수 있다.
가스 공급 판넬(90)은 공급원(91A-C)으로부터 증착 프로세스 및 캐리어 가스(또는 기상 액체)를 공급 라인(92A-C)(다른 라인들이 제공될 수 있지만, 도시되어 있지 않음)을 경유하여 가스 공급 커버판(45) 내의 중앙 가스 유입구(44)로 혼합시키면서 전송하기 위한 혼합 시스템을 갖는다. 본 특정 실시예에서, 혼합 시스템, 혼합 시스템까지의 유입 매니폴드, 그리고 혼합 시스템으로부터 중앙 유입구(44)까지의 배출 매니폴드는 니켈 또는 알루미나 도금된 니켈과 같은 재료로 제작된다.
액체 공급원이 사용될 때, 본 기술분야의 숙련된 당업자에게 공지된 바와 같이, 공급원을 챔버(30)로 도입시키는 많은 상이한 방식이 있다. 이들 중 하나의 방식은 기상 압력이 증착 프로세스에 충분한 기상 공급물의 안정적인 유동을 제공하도록 한 앰풀(ampule)의 액체를 한정하고 가열하는 것이다. 액체 공급원을 사용하는 공급 가스를 도입시키는 다른 방식은 헬륨과 같은 캐리어 가스를 액체를 통해 거품화시키는 것이다. 또 다른 방식은 액체의 측정량을 캐리어 가스 흐름으로 기화시키는 액체 분사 시스템을 사용하는 것이다. 액체 분사 시스템은 거품식 공급원과 비교하여 가스 혼합 시스템으로 도입되는 반응 액체의 부피를 더욱 크게 조절하는 몇가지 경우에 바람직하다.
B. 원뿔형 홀(42)을 갖는 가스 분배 매니폴드(40)
프로세스 가스는 반응기(30)로 분사되어 가스 공급 커버판(45) 내의 중앙 가스 유입구(44)를 통해 제1디스크형 공간(48)으로, 그리고 그로부터 배플판[또는 가스 차폐판(gas blocker plate; 52)] 내의 경로(미도시)를 통해 제2디스크형 공간(54)으로 최종적으로 샤워헤드(40)로 공급된다. 샤워헤드(40)는 프로세스 가스를 반응 구역(58)으로 분출하기 위한 많은 수의 홀 또는 경로(42)를 포함한다.
바람직하게, 각각의 홀(42)은 참조문헌으로 이미 합체된 미국 특허 제4,854,263호에 상세히 설명된 바와 같이 그리고 샤워헤드(40) 내의 많은 홀들 중 하나를 나타내는 도 4A 및 도 4B에 도시된 바와 같이 "원뿔형 홀"이다. 각각의 원뿔형 홀은 프로세싱 동안 기판에 대면하는 원뿔 단면(50)을 갖는다. 홀들은 샤워헤드(40)를 통한 적층 유동을 진행시키는 크기로 된다. 가스 분배 홀 내에 원뿔 단면(50)의 제공은 반응 가스의 해리를 개선시키고 이에 의해서 플라즈마 밀도 및 이온화 성능을 증가시킨다. 개선된 해리는 특히 N2와 같이 해리하기에 어려운 가스가 증착용으로 사용될 때 유익하다.
본 명세서에 사용될 때, 용어 "원뿔형 홀"은 가스 배출구의 직경이 가스 유입구의 직경보다 큰 경우에 상기 홀을 통해 반응 구역(58)으로 도입되는 가스의 분해 및 반응성을 증가시키도록 결정된 홀을 의미한다. 따라서, 콘(cone)이 아닌 수직 단면형상은 본 명세서에 사용될 때 "원뿔형 홀"의 의미에 포함된다. 원뿔형 홀의 다른 예는 도 4B에 도시된다. 도 4B에 도시된 홀은 오목 단면(51)을 갖는다. 볼록, 포물선, 쌍곡선, 사발형(bowl-shaped) 및 반타원형을 포함하는 다른 수직 단면을 갖는 다른 홀도 사용될 수 있다.
도 1을 다시 참조하면, 프로세스 가스는 웨이퍼(36)의 표면에서 반응하도록 샤워헤드(40) 내의 홀(42)로부터 샤워헤드와 페데스탈 사이의 반응 구역(58)으로 분출한다. 이 후에, 프로세스 가스 부산물은 웨이퍼(36) 에지와 페데스탈(32)이 프로세싱 위치에 있을 때 페데스탈(32)의 상부 주연 상에 배치되는 유동 억제링(46)을 가로질러 외부에 방사상으로 유동한다. 이로부터, 프로세스 가스는 환형 분리기(64)의 바닥과 챔버 벽부 라이너 어셈블리(53)의 상부 사이에 형성된 쵸크(choke) 구멍을 통해 펌핑 통로(pumping channel; 60)로 유동한다.
진공 시스템(88)은 챔버 내에 특정 압력을 유지하고 기화된 부산물을 제거하고 챔버로부터 가스를 소모하는 데 사용된다. 진공 시스템(88)은 진공 펌프(82)와 쓰로틀 밸브(83)를 포함한다. 펌핑 통로(60)로 유입될 때, 배기 가스는 프로세스 챔버의 주연 주위를 따라 순환되고 진공 펌프(82)에 의해 배기된다. 펌핑 통로(60)는 배기 구멍(exhaust aperture; 74)을 통해 펌핑 플리넘(pumping plenum; 76)에 연통된다. 배기 구멍(74)은 펌핑 통로와 펌핑 플리넘 사이의 유동량을 제한한다. 밸브(78)는 배기구(exhaust vent; 80)를 통해 진공 펌프(82)까지 배기물의 입구이다. 쓰로틀 밸브(83)는 메모리에 저장되거나 또는 제어 프로그램에 따라 생성된 소정값에 대해 마노메타(manometar)와 같은 압력센서(미도시)로부터 측정 신호를 비교하는 메모리(86)에 저장된 압력 조절 프로그램에 따른 프로세서(85)에 의해 제어된다. 펌핑 통로(60) 및 그의 구성요소는 프로세스 가스 및 부산물을 배기 시스템으로 진행시킴으로써 불필요한 박막 적층의 효과를 최소화시키도록 의도된다.
C. 세라믹 페데스탈(32)
도 1을 다시 참조하면, 내열성 세라믹 페데스탈(32)은 웨이퍼 포켓(34) 내에 웨이퍼(36)를 지지한다. 페데스탈(32)은 발명의 명칭이 "자체 정렬 리프트 기구"이고, 양도된 미국 특허 출원 제08/738,240호(1996년 10월 25일 출원되고 발명자는 레오니드 셀리우틴과 준 짜오임)에 상세히 설명된 자체 조정 리프트 기구를 사용하여 프로세싱 위치(예를 들어, 도 1에 도시된 바와 같음)와 하부 선적 위치 사이를 수직으로 이동될 수 있다. 하부 선적 위치[슬릿 밸브(56)보다 약간 낮음]에 페데스탈(32)이 있는 상태에서, 리프트 핀 및 리프팅 링과 협력하는 로봇 블레이드(미도시)는 슬릿 밸브(56)를 통해 챔버(30) 안밖으로 웨이퍼(36)를 전송하고, 슬릿 밸브(56)를 통한 챔버 안밖으로 가스의 유동을 방지하도록 진공 기밀될 수 있다. 리프트 핀(38)은 삽입된 웨이퍼(미도시)를 로봇 블레이드로부터 상승시키고, 이 후에 페데스탈은 상기 웨이퍼를 상기 리프트 핀으로부터 페데스탈의 상부면 상의 웨이퍼 포켓으로 상승시키도록 오른다. 적절한 자동 이송 어셈블리는 메이단 등에게 허여되고 양도된 미국 특허 제4,951,601호에 설명된다. 자체 정렬 리프트 기구의 사용을 통해, 페데스탈(32)은 웨이퍼(36)를 프로세싱 위치로 계속 상승시켜, 가스 분배 매니폴드(40)에 밀접하게 근접한다.
페데스탈(32)의 개략적인 단면도인 도 2에 도시된 바와 같이, 세라믹 페데스탈(32)은 내장형 몰리브데늄 메시(mesh)와 같은 내장형 RF 전극(22)과, 내장형 몰리브데늄 와이어 코일과 같은 가열 요소(33)를 포함한다. 세라믹 페데스탈(32)은 알루미늄 질화물로 제작되는 것이 바람직하고 리프트 모터와 결합하는 수냉 알루미늄 샤프트(28)(도 2에 도시되지 않았지만, 도 1에 도시됨)에 고착된 세라믹 지지 스템(stem; 26)에 확산결합되는 것이 바람직하다. 세라믹 지지 스템(26) 및 알루미늄 샤프트(28)는 저주파수 FR 파워을 내장형 전극(22)에 전송하는 니켈 로드(25)에 의해 점유된 중앙 통로를 갖는다. 상기 중앙 통로는 금속 대 금속 연결부에서의 아킹(arcing) 및 부식 파괴를 방지하도록 대기압에 유지된다.
도 3은 세라믹 기판 홀더(32)에 내장된 RF 전극(22)에 RF 파워을 공급하기 위한 바람직한 금속 대 세라믹 연결부의 개략적인 도면이다. 도 3에 도시된 바와 같이, 니켈 로드(25)는 기판 홀더(32) 내의 내부 나사선에 결합하는 외부 나사선(29)을 갖는 니켈 아일릿(eyelet; 27)에 용접된다. 커버 플러그(28)는 아일릿(27) 내에서 니켈 로드(25)의 단부에 용접된다. 몰리브데늄 펠렛(26)은 RF 전극(22)과 접촉하는 AlN 기판 홀더와 함께 소결된다. 커버 플러그(28)는 이 후에 몰리브데늄 펠렛(26)에 용접되어 니켈 로드(25)를 RF 전극(22)에 견고하게 부착시킨다. 실버/티타늄 용접 합금이 바람직하다.
세라믹 페데스탈(32)은 기판 홀더의 표면 아래 균일한 깊이로 RF 전극(22)을 내장함으로써 균일한 용량을 제공하도록 제작된다. RF 전극(22)은 세라믹 재료에 따라 바람직하게는 최소 깊이에 위치되어 RF 전극(22)을 덮는 박형 세라믹 층의 파괴 또는 박편화(flaking)를 방지하지만 최대 용량을 제공한다. 일실시예에서, RF 전극(22)은 페데스탈(32)의 상부면 아래 약 40 밀(mil) 정도로 내장된다.
D. RF 파워 전원(5) 및 필터/정합 네트워크
RF 파워 전원(5)은 플라즈마 여기 프로세스에 적합하게 고 및 저 무선 주파수(RF) 파워을 챔버에 제공한다. 도 5는 고주파수 RF 전원(12) 및 저주파수 RF 전원(17)을 포함하는 외부 RF 회로를 나타내는 개략적인 회로도이다. 회로는 고주파수 RF 파워을 도 1에 도시된 챔버(30)의 가스 분배 매니폴드(40)에 입력시키고 저주파수 RF 파워을 기판 홀더(32)에 내장된 RF 전극(22)에 입력시킨다.
고주파수 및 저주파수 RF 파형(waveform)은 고역 및 저역 필터의 네트워크에 의해 비간섭화(decoupling)된다. 저주파수 진폭은 기판 지지부의 표면에 위치된 플라즈마 외장(sheath)에서 극대화되고 가스 분배 시스템에서 극소화된다. 고주파수 진폭은 가스 분배 시스템에 인접하는 플라즈마 외장에서 극대화되고 고주파수 전압은 기판 지지부의 표면에서 최소화된다. 가스 분배 시스템은 저주파수 RF 파워용 "의사-접지"(pseudo-ground)이고 전압은 최소화되어 아킹(arcing)을 방지한다. 저주파수 및 고주파수 RF 파워의 비간섭화는 저주파수 파워이 증가함에 따라 자체 DC 바이어스 증가를 야기시킨다. 따라서, 이온 에너지는 바닥 플라즈마 외장을 통한 저주파수 RF 신호 전압 진폭에 의해 직접 조절된다.
고주파수 및 저주파수 RF 파워 사이의 간섭은 고주파수 RF의 임피던스와 비간섭화된 저주파수의 임피던스를 정합시키는 외부 RF 정합 유닛에 의해 상부 및 바닥 플라즈마 외장을 가로질러 최소화된다. 다른 방식에서, 간섭은 전극에서 전극에 고전압을 생성하고 그 결과 가스 분배 시스템에서 아킹을 야기시켜 샤워헤드를 손상시킨다. 저주파수 바닥 플라즈마 외장과 우세한 고주파수 상부 플라즈마 외장의 사용은 요구된다면 외부 RF 회로에 의해 증가되거나 또는 감소될 수 있는 조화 오실레이션 주파수(harmonic oscillation frequency)를 야기시킨다.
도 5에 도시된 바와 같이, 고주파수 RF 전원(12)은 고주파수 임피던스 정합 유닛(13)과 저주파수 RF 파워으로부터 고주파수 RF 전원(12)을 차폐하는 고역 필터(14)에 연결된다. 고주파수 RF 전원(12)은 저역 필터(16)에 의해 저주파수 접지(15)로부터 차폐된다. 저주파수 RF 전원(17)은 고주파수 RF 파워으로부터 저주파수 RF 전원을 차폐하는 저역 필터(18)에 연결된다. 저주파수 입력은 또한 고역 필터(20)를 포함하는 고주파수 접지(19)로부터 차폐된다.
도 5에 도시된 구조에 의해 제공된 RF 파워 전송은 충분한 전자 충격 관련에 적합한 13.56 MHz 전압 진폭을 극대화시키고 샤워헤드 전극에서 저주파수 오실레이션을 최소화시키도록 설계된다. 실질적으로, 하기에 상술되는 바와 같이, 본 발명자들은 플라즈마 불안정성 및 마이크로아칭(microarcing)을 방지하기 위하여 저주파수와 고주파수 사이의 위상과 잠재적인 간섭이 샤워헤드(40) 내 원뿔형 홀에서 극소화되어야 한다는 것을 발견하였다. RF 네트워크는 또한 13.56 MHz 신호에 적합한 "의사-접지"를 나타내는 하위 전극에서 저주파수 전위를 극대화시키도록 설계된다. 이것은 저위 전극에서 13.56 MHz 오실레이션을 제한하고 자체 DC 바이어스(〈 20V)의 형성을 방지하여, 이온 에너지는 LF 전압 진폭에 의해 직접 조절될 수 있다. 결과적으로, 웨이퍼 표면에서 대전 효과 및 플라즈마 여기 손상을 감소시킬 수 있다.
일반적으로, 고조파의 형성을 방해하는 것이 바람직하지만, 플라즈마 외장 내에 형성된 고조파를 증폭시키도록 상술된 외부 정합 회로를 사용하는 특정 실시예에 바람직하다. 예를 들어, 고조파의 주파수 및 진폭은 바닥 RF 정합에서 캐패시터(20)의 외적 용량을 가변시킴으로써 동조될 수 있다. 스파이스(SPICE; simulated program while integrated circuit emphasis)를 사용하는 수학적 모델링을 통해, 발명자들은 매우 강한 공진이 동조될 수 있다는 것을 발견하였다. 이 계산은 캐패시터(20)가 1500 pF의 용량을 갖도록 선택된 경우, 고조파의 공진 주파수가 3 MHz였고, 오실레이션의 진폭은 13.56 MHz에서의 오실레이션의 진폭보다 크다는 것을 증명한다. 이 현상은 적절하게 동조된다면 소정 스퍼터링 구성요소를 증착 프로세스에 도입시키거나 또는 플라즈마에서 반응성 종류(reactive species)의 특성 및 농도를 조화시킬 수 있는 이온 공진에 기여될 수 있다는 것이 확신된다.
E. 다른 구성요소
도 1을 다시 참조하면, 액체 열교환 시스템(6)은 반응 챔버로부터 열을 제거하고 안정적인 프로세스 온도에 적합한 온도에 챔버의 특정 부위를 유지하도록 물 또는 물-글리콜 혼합액과 같은 액체 열교환 매체를 사용한다. 액체 열교환 시스템(6)은 액체를 챔버(30)의 다양한 구성요소들로 전송하여 이들 구성요소들을 고온 공정 동안 적합한 온도에 유지시킨다. 이 시스템(6)은 고온 공정에 기인한 이들 구성요소들 상으로의 불필요한 증착을 최소화시키기 위하여 몇몇 챔버 구성요소들의 온도를 강하시키도록 작용한다. 도 1에서 알 수 있는 바와 같이, 가스 공급 커버판(45) 내에서 열교환 통로(79)는 열교환 액체가 가스 공급 커버판(45)을 통해 순환하도록 하여, 가스 공급 커버판(45)과 인접한 구성요소들의 온도를 유지한다. 액체 열교환 시스템(6)은 샤워헤드(40)를 포함하는 가스 분배 시스템으로 액체를 전송(하기에 설명됨)하기 위해 열교환 액체 매니폴드(미도시)를 통한 (물과 같은) 액체를 공급하는 접속부(미도시)를 포함한다. 물 유량 검출기는 열교환기(미도시)로부터 주위 어셈블리까지 물 유량을 검출한다.
모터 및 광학 센서(미도시)는 쓰로틀 밸브(83) 및 페데스탈(32)과 같은 가동성 기계적 어셈블리의 위치를 이동시키고 결정하도록 사용된다. 페데스탈(32)의 바닥 및 챔버 본체(11)에 부착된 벨로우즈(미도시)는 상기 페데스탈 주위에 가동성 가스-기밀 밀봉을 형성한다. 페데스탈 리프트 시스템, 모터, 게이트 밸브, 임의 원격 플라즈마 시스템(4; 예를 들어 마이크로파 공급원을 사용하여 형성된 원격 플라즈마를 사용하여 챔버 청정 능력을 제공하도록 사용될 수 있음)을 포함하는 플라즈마 시스템 및 다른 시스템 구성요소는 하기에 더욱 상세히 설명되고 단지 몇개만이 도시되어 있는 제어 라인(3 및 3A-D) 상부에서 프로세서(85)에 의해 제어된다.
II. CVD 시스템(10)의 시스템 제어
프로세서(85)는 프로세서(85)에 결합된 메모리(86)에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 작동시킨다. 바람직하게, 메모리(86)는 하드 디스크 드라이브일 수 있지만, 메모리(86)는 다른 종류의 메모리일 수 있다. 메모리(86)에 부가하여, 프로세서(85)는 플로피 디스크 드라이브 및 카드 랙(card rack)을 포함한다. 프로세서(85)는 시기(timing), 가스 혼합, 가스 유량, 챔버 압력, 챔버 온도, RF 파워 수위, 히터 페데스탈 위치, 히터 온도 및 특정 프로세스의 다른 매개변수를 지시하는 일련의 지시를 포함하는 시스템 제어 소프트웨어의 제어하에서 작동한다. 디스크 드라이브 또는 다른 적절한 드라이브에 삽입된 예를 들어 플로피 디스크 또는 다른 컴퓨터 프로그램 산물을 포함하는 다른 메모리 상에 저장된 것들과 같은 다른 컴퓨터 프로그램도 또한 프로세서(85)를 작동시키도록 사용될 수 있다. 시스템 제어 소프트웨어는 하기에 상세히 설명될 것이다. 상기 카드 랙은 단일 보드 컴퓨터, 아날로그 및 디지탈 입/출력 보드, 계면 보드 및 스텝 모터 제어기 보드를 포함한다. 다양한 종류의 CVD 장치(10)는 보드, 카드 케이지(card cage) 및 커넥터 규격 및 형식을 결정하는 베르나 모듈 유로피안(VME; Verna Modular European)에 규격화된다. VME 표준은 또한 16비트 데이타 버스 및 24비트 어드레스 버스를 갖는 버스 구조를 결정한다.
사용자와 프로세서(85) 사이의 경계는 시스템 모니터의 개략적인 도면인 도 6에 도시된 CRT 모니터(93a) 및 라이트 펜(93b)과 다중챔버 시스템 내 하나의 시스템으로서 예시된 CVD 장치(10)를 경유한다. CVD 장치(10)는 장치(10)에 적합한 전기적, 플러밍(plumbing) 및 다른 지지 기능을 포함하고 제공하는 메인프레임 유닛(95)에 부착된다. CVD 장치(10)의 도시적인 실시예와 비교가능한 예시적 메인프레임 유닛은 캘리포니아주 산타 클라라의 어플라이드 머티어릴즈, 인크.로부터 현재 상업적으로 입수가능한 상표명 프리시젼 5000(Precision 5000TM) 및 상표명 센튜라 5200(Centura 5200TM)이다. 다중챔버 시스템은 진공도를 저하시키지 않고 다중챔버 시스템 외부의 습기 또는 다른 오염물에 웨이퍼를 노출시키지 않고 챔버들 사이에서 웨이퍼를 전송하는 능력을 갖는다. 다중챔버 시스템의 장점은 다중챔버 시스템 내 다른 챔버가 전체 프로세스에서 다른 목적을 위해 사용될 수 있다는 것이다. 에를 들어, 하나의 챔버가 금속막을 증착하기 위해 사용될 수 있고, 다른 챔버는 급속 열처리에 사용될 수 있고, 또 다른 챔버는 항반사층(anti reflective layer) 증착용으로 사용될 수 있다. 프로세스는 다중챔버 시스템 내에서 간섭없이 진행할 수 있고, 이에 의해서 프로세스의 다른 부분을 위해 다양하게 분리된 개별 챔버(다중챔버에서는 아님)들 사이에 웨이퍼를 전송할 때 다른 방식으로 발생할 수 있는 웨이퍼의 오염을 방지한다.
바람직한 실시예에서, 하나가 작업자용 청정 룸(clean room) 벽에 장착되고 다른 하나가 서비스 기술자용 벽 뒤에 장착되어 있는, 두개의 모니터(93a)가 사용된다. 두개의 모니터(93a)는 동일 정보를 동시에 나타내지만, 단지 하나의 라이트 펜(93) 만이 사용가능하다. 라이트 펜(93b)은 펜의 선단에 있는 광센서로 CRT 디스플레이에 의해 발광된 빛을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 작업자는 디스플레이 스크린의 지정된 영역을 접촉하고 펜(93b)상의 버튼을 누른다. 접촉된 영역은 강조된 색상으로 변하거나 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 라이트 펜과 디스플레이 스크린 사이의 소통을 확보한다. 물론, 키보드, 마우스 또는 다른 포인팅 또는 소통 장치와 같은 다른 장치들이 사용자가 프로세서(85)와 소통하도록 라이트 펜(93b)을 대신하여 또한 이에 부가하여 사용될 수 있다.
박막을 증착시키고 챔버를 건식 청정시키기 위한 프로세스는 프로세서(85)에 의해 실행되는 컴퓨터 프로그램 산물을 사용하는 도구화될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언더, C, C++, 파스칼, 포트란 또는 다른 언어와 같은 종래의 컴퓨터 판독가능한 프로그래밍 언어로 기록될 수 있다. 적절한 언어 코드는 종래 텍스트 편집기를 사용하는 단일 파일 또는 다중 파일로 입력되고 컴퓨터의 메모리 시스템과 같은 컴퓨터-사용가능한 매체에 저장되거나 또는 구체화된다. 입력된 코드 텍스트가 고급 언어라면, 코드는 컴파일(compile)되고 이 후에 그 결과의 컴파일러 코드(compiler code)는 프리컴파일된 윈도우즈 라이브러리 경로의 대상 코드와 링크된다. 링크되고 컴파일된 대상 코드를 실행시키기 위하여, 시스템 사용자는 대상 코드를 불러들이고, 컴퓨터 시스템이 메모리 내의 코드를 로딩하도록 야기시키고, 이로부터 CPU는 프로그램에서 식별된 임무를 수행하도록 코드를 판독하고 실행한다.
도 7은 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(160)의 계층적 제어의 예시적인 블럭도이다. 라이트 펜 인터페이스를 사용함으로써, 사용자는 CRT 모니터 상에 디스플레이된 메뉴 또는 스크린에 응답하여 프로세스 선택기 보조경로(process selector subroutine; 161)로 프로세스 세트 번호 및 프로세스 챔버 번호를 입력시킨다. 특정 프로세스를 수행하는 데 필요한 프로세스 매개변수의 예정된 세트인 프로세스 세트는 미리 정해진 세트 번호에 의해 식별된다. 프로세스 선택기 보조경로(161)는 (i)소정 프로세스 챔버와, (ii) 소정 프로세스를 수행하기 위한 프로세스 챔버를 작동시키는 데 요구되는 프로세스 매개변수의 소정 세트를 식별시킨다. 특정 프로세스를 수행하기 위한 프로세스 매개변수는, 예를 들어 프로세스 가스 조성 및 유속, 온도, 압력, 고주파수 및 저주파수 RF 파워 수위와 고주파수 및 저주파수 RF 주파수(부가적으로, 원격 마이크로파 플라즈마 시스템이 장착된 실시예에 적합한 마이크로파 발생기 파워 수위)와 같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽부 온도와 같은 프로세스 조건에 관계한다. 프로세스 선택기 보조경로(161)는 챔버(30)에서 소정 시간에 수행되는 프로세스의 형태(증착, 웨이퍼 세정, 챔버 세정, 챔버 게터링, 리플로우잉)을 제어한다. 몇몇 실시예에서는, 하나 이상의 프로세스 선택기 보조경로가 존재할 수 있다. 프로세스 매개변수는 비법(recipe)의 형태로 사용자에게 제공되고 라이트 펜/CRT 모니터 경계를 사용하여 입력될 수 있다.
프로세스 모니터링용 신호는 시스템 컨트롤러의 아날로그 입력 보드 및 디지탈 입력 보드에 의해 제공되고, 프로세스를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 보드 및 디지탈 출력 보드 상에 출력된다.
프로세스 시퀀서 보조경로(process sequencer subroutine; 162)는 식별된 프로세스 챔버 및 프로세스 선택기 보조경로(161)로부터 프로세스 매개변수의 세트를 수용하고, 다양한 프로세스 챔버의 작동을 제어하기 위한 프로그램 코드로 구성된다. 다중 사용자는 프로세스 세트 번호 및 프로세스 챔버 번호를 입력시킬 수 있거나 또는 단일 사용자는 다중 프로세스 세트 번호 및 프로세스 챔버 번호를 입력시킬 수 있어, 시퀀서 보조경로(162)는 요구된 시퀀스로 선택된 프로세스를 예정하도록 작동한다. 바람직하게, 시퀀서 보조경로(162)는 (i) 챔버가 사용되고 있다면 프로세스 챔버의 작동을 결정하도록 모니터링하고, (ii) 어떤 프로세스가 사용중인 챔버에서 수행되는 것을 결정하고, (iii) 프로세스 챔버의 입수가능성 및 수행되어야 하는 프로세스 형태에 근거된 소정 프로세스를 실행시키는 단계를 수행시키는 프로그램 코드를 포함한다. 폴링(polling)과 같이 프로세스 챔버를 모니터링하는 종래 방법이 사용될 수 있다. 어떤 프로세스가 실행되는 가를 예정할 때, 시퀀서 보조경로(162)는 선택된 프로세스, 각각의 특정 사용자-입력 요구의 "시효", 또는 다른 관련된 요소에 적합한 소정의 프로세스 조건과 비교하여 사용되는 프로세스 챔버의 현 조건을 고려하도록 설계될 수 있고, 시스템 프로그래머는 예정의 우선순위를 결정하는 것을 포함하도록 요구한다.
일단 시퀀서 보조경로(162)가 어떤 프로세스 챔버 및 프로세스 세트 복합이 다음에 실행될 것인지를 결정하면, 시퀀서 보조경로(162)는 시퀀서 보조경로(162)에 의해 결정된 프로세스 세트에 따라 프로세스 챔버(30)에서의 다중 진행 임무를 조절하는 챔버 관리기 보조경로(163a-c)에 특정 프로세스 세트 매개변수를 통과시킴으로써 프로세스 세트의 실행을 초기화시킨다. 예를 들어, 챔버 관리기 보조경로(163b)는 프로세스 챔버(30)에서 CVD 작동을 제어하기 위한 프로그램 코드를 구성한다. 챔버 관리기 보조경로(163b)는 또한 선택된 프로세스 세트를 실행시키는 데 필요한 챔버 구성요소의 작동을 조절하는 다양한 챔버 구성요소 보조경로의 실행을 제어한다. 챔버 구성요소 보조경로의 예는 기판 위치설정 보조경로(164), 프로세스 가스 제어 보조경로(165), 압력 제어 보조경로(166), 히터 제어 보조경로(167) 및 플라즈마 제어 보조경로(168)이다. CVD 챔버의 특정 형상에 의존하여, 몇몇 실시예는 상기 모든 보조경로를 포함하는 반면에 다른 실시예는 단지 몇개의 보조경로만 포함한다. 기술분야의 숙련된 당업자들은 다른 챔버 제어 보조경로가 어떤 프로세스들이 프로세스 챔버(30)에서 수행되어야 하는 가에 따라 포함될 수 있다는 것을 용이하게 인식할 것이다. 작동시, 챔버 관리기 보조경로(163b)가 특정 프로세스 세트가 실행되는 것에 따라 프로세스 구성요소 보조경로를 선택적으로 예정하거나 또는 호출한다. 챔버 관리기 보조경로(163b)는 시퀀서 보조경로(162)가 어떤 프로세스 챔버(30) 및 프로세스 세트가 다음에 실행되어야 하는 지를 예정하는 것과 거의 유사하게 프로세스 구성요소 보조경로를 예정한다. 전형적으로, 챔버 관리기 보조경로(163b)는 다양한 챔버 구성요소를 모니터링하는 단계와, 어떤 구성요소가 실행되는 프로세스 세트에 적합한 프로세스 매개변수에 근거하여 작동될 필요가 있는 지를 결정하는 단계와, 모니터링 및 결정 단계에 응답하여 챔버 구성요소 보조경로의 실행을 초기화시키는 단계를 포함한다.
이제, 특정 챔버 구성요소 보조경로의 작동이 도 7을 참조하여 설명될 것이다. 기판 위치설정 보조경로(164)는 기판을 페데스탈(32) 상으로 선정하고, 임의적으로 기판과 샤워헤드(40) 사이의 간격을 조정하도록 챔버 내 소정 높이까지 기판을 상승시키도록 사용되는 챔버 구성요소를 조절하기 위한 프로그램 코드를 포함한다. 기판이 프로세스 챔버(30)로 선적될 때, 히터 조립체(33)는 웨이퍼 포켓(34) 내 기판을 수용하도록 하향되고 이 후에 소정 높이까지 상승된다. 작동시, 기판 위치설정 보조경로(164)는 챔버 관리기 보조경로(163b)로부터 전송된 지지 높이에 관련된 프로세스 세트 매개변수에 응답하여 페데스탈(32)의 이동을 제어한다.
프로세스 가스 제어 보조경로(165)는 프로세스 가스 조성 및 유속을 조정하기 위한 프로그램 코드를 갖는다. 프로세스 가스 제어 보조경로(165)는 안전 차단 밸브의 개폐 위치를 제어학, 또한 소정 가스 유속을 얻기 위하여 매체 유량 콘트롤러를 급격하게 상승/하강시킨다. 프로세스 가스 제어 보조경로(165)는, 모든 챔버 구성요소 보조경로와 마찬가지로, 챔버 관리기 보조경로(163b)에 의해 요구되고 챔버 관리기로부터 소정 가스 유속에 관련된 보조경로 프로세스 매개변수를 받아들인다. 전형적으로, 프로세스 가스 제어 보조경로(165)는 가스 공급 라인을 개방시키고, (i) 반복적으로 필요한 매체 유량 콘트롤러를 판독하고, (ii) 판독치를 챔버 관리기 보조경로(163b)로부터 수용된 소정 유속과 비교하고, (iii) 필요할 때 가스 공급 라인의 유속을 조정함으로써 작동한다. 또한, 프로세스 가스 제어 보조경로(165)는 불안정한 유속에 적합한 가스 유속을 모니터링하는 단계와, 불안정한 조건이 검출될 때 안전 차폐 밸브를 작동시키는 단계를 포함한다. 프로세스 가스 제어 보조경로(165)는 또한 선택되는 (청정 또는 증착 또는 다른) 소정 프로세스에 따라 증착 가스 뿐만 아니라 청정 가스에 적합한 가스 조성 및 유속을 제어한다. 다른 실시예는 하나 이상의 프로세스 가스 제어 보조경로를 포함할 수 있고, 각각의 보조경로는 프로세스의 특정 형태 및 가스 라인의 특정 세트를 제어한다.
몇몇 프로세스에서, 질소 또는 아르곤과 같은 불활성 가스는 반응성 프로세스 가스가 유입되기 전에 챔버 내 압력을 안정화시키도록 챔버(30)로 유동된다. 다른 프로세스 경우, 프로세스 가스 제어 보조경로(165)는 챔버 내 압력을 안정화시키도록 필요한 시간 동안 불활성 가스를 챔버(30)로 유입시키는 단계를 포함하도록 프로그램화되고, 이 후에 상술된 단계들이 수행될 것이다. 부가적으로, 프로세스 가스가 액체 선구물질(precursor), 예를 들어 TEOS로부터 기화될 예정일 때, 프로세스 가스 제어 보조경로(165)는 헬륨과 같은 전송 가스를 상기 액체 선구물질을 통해 버블러(bubbler) 조립체에서 거품화시키는 단계 또는 헬륨과 같은 캐리어 가스를 액체 분사 시스템으로 도입시키는 단계를 포함하도록 기록될 것이다. 버블러가 프로세스의 이러한 형태용으로 사용될 때, 프로세스 가스 제어 보조경로(165)는, 소정의 프로세스 가스 유속을 얻기 위하여, 전송 가스의 유량, 버블러 내 압력 및 버블러 온도를 규칙화시킨다. 상술된 바와 같이, 소정 프로세스 가스 유속은 프로세스 매개변수로서 프로세스 가스 제어 보조경로(165)로 전송된다. 또한, 프로세스 가스 제어 보조경로(165)는 주어진 프로세스 가스 유속에 필요한 값을 포함하는 저장 테이블을 접근함으로써 소정의 프로세스 가스 유속에 적합한 필요한 전송 가스 유속, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단, 필요한 값들이 얻어지면, 전송 가스 유속, 버블러 압력 및 버블러 온도는 모니터링되고, 필요한 값과 비교되고, 그에 따라 조정된다.
압력 제어 보조경로(166)는 챔버의 배기 시스템에서 쓰로틀 밸브의 구멍 크기를 규칙화시킴으로써 챔버(30) 내 압력을 제어하기 위한 프로그램 코드를 포함한다. 쓰로틀 밸브의 구멍 크기는 배기 시스템에 적합한 전체 프로세스 가스 유량, 프로세스 챔버의 크기 및 펌핑 세트-포인트 압력에 관련된 소정 수위에 채버 압력을 제어하도록 설정된다. 압력 제어 보조경로(166)가 호출될 때, 소정의 또는 대상 압력 수위는 챔버 관리기 보조경로(163b)로부터 매개변수로서 수용된다. 압력 제어 보조경로(166)는 챔버에 연결된 하나 이상의 종래의 압력계를 판독함으로써 챔버(30) 내 압력을 측정하고, 측정치를 대상 압력과 비교하고, 저장된 압력 테이블로부터 대상 압력에 상응하는 PID(비율, 정수 및 미분) 값을 얻고, 상기 압력 테이블로부터 얻어진 PID 값에 따라 쓰로틀 밸브를 조정한다. 대안으로, 압력 제어 보조경로(166)는 소정 수위까지 챔버(30) 내 펌핑 용량을 규칙화시키도록 특정 구멍 크기까지 쓰로틀 밸브를 개방시키거나 또는 폐쇄시키도록 기록될 수 있다.
히터 제어 보조경로(167)는 저항열 페데스탈(32)( 및 그 위의 어떤 기판)에 사용된 히터 요소(107)의 온도를 제어하기 위한 프로그램 코드로 구성된다. 히터 제어 보조경로(167)는 또한 챔버 관리기 보조경로에 의해 호출되고, 대상, 또는 세트-포인트, 온도 매개변수를 받아들인다. 히터 제어 보조경로는 페데스탈(32)에 위치된 열전쌍의 전압 출력을 측정하고, 측정 온도를 세트-포인트 온도와 비교하고, 세트-포인트 온도를 얻도록 가열 유닛에 인가된 전류를 증가시키거나 또는 감소시킴으로써 온도를 측정한다. 온도는 저장된 변환 테이블 내 대응 온도를 탐색함으로써, 또는 4차원 다항식(polynomial)을 사용하여 온도를 산술함으로써 측정된 전압으로부터 얻어진다. 내장된 루프가 페데스탈(32)을 가열하도록 사용될 때, 히터 제어 보조경로(167)는 루프에 인가된 전류의 급격한 상승/하강을 점진적으로 제어한다. 부가적으로, 직립의 고장-안전 모드는 프로세스 안전 컴플라이언스 (compliance)를 검출하도록 포함될 수 있고, 프로세스 챔버(30)가 적절하게 설치되어 있지 않다면 가열 유닛의 작동을 차단할 수 있다. 사용될 수 있는 히터 제어의 다른 방법은 1996년 11월 13일 출원(대리인 관리번호 제AM1680-8/T17000)되고, 발명자로서 죠나단 프랭클이 기재된 "기상 증착 장치의 온도 제어용 시스템 및 방법" 제하의 계류중이고 양도된 미국 특허출원 제08/746,657호에 기재된 램프 제어 논리를 사용하고, 그 문헌은 본 명세서에 참조문헌으로 합체된다.
플라즈마 제어 보조경로(168)는 챔버(30) 내 프로세스 전극에 인가된 저주파수 및 고주파수 RF 파워 수위를 설정하고, 사용된 고 및 저 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 상술된 챔버 구성요소 보조경로와 마찬가지로, 플라즈마 제어 보조경로(168)는 챔버 관리기 보조경로(163b)에 의해 호출된다. 원격 플라즈마 발생기(4)를 포함하는 실시예의 경우에, 플라즈마 제어 보조경로(168)는 원격 플라즈마 발생기를 제어하기 위한 프로그램 코드를 포함한다.
III. CVD 시스템(10)으로 CVD막 증착
본 발명의 장치의 개발 전에, 홀(42)과 같은 원뿔형 홀을 사용하는 샤워헤드는 혼합 주파수 PECVD 프로세스에 효과적으로 사용될 수 없다고 간주되었다. 상기 발명의 배경 부분에서 논의된 바와 같이, 원뿔-홀 샤워헤드로 혼합 주파수 RF 파워을 사용하는 모든 공지된 시도는 고주파수(HF) 및 저주파수(LF) 파워 공급이 프로세싱 챔버 내 샤워헤드(상부 전극)에 연결되는 상황을 유추하였다. 이러한 구조는 LF 및 HF 파형 사이에 불안정한 위상 간섭을 야기시켰다. 이것은 순차적으로 상부 전극에서 소정 전압보다 높게 나타났고 불필요한 아킹을 발생시켰다.
그러나, 본 발명의 발명자들은 저주파수 RF 파워 전원이 가판 아래의 전극에 연결되는 바닥 파워화 전극 설계를 사용함으로써 그리고 상술된 RF 필터 및 정합 네트워크를 사용함으로써, HF 및 LF 파형이 종래에 경험하였던 위상 간섭 문제를 방지하도록 충분히 분리될 수 있다는 것을 발견하였다. 이러한 구조를 사용하면, HF 및 LF 파형은 파형들 사이에서 간섭을 최소화시키도록 조절될 수 있다. 간섭이 최소화된 상태에서, 발명자들은 원뿔-홀 샤워헤드가 홀(42) 내 또는 그 주위에서 아킹을 회피하는 동안 혼합 주파수 PECVD 프로세스에 사용될 수 있다는 것을 발견하였다.
원뿔형 홀의 사용과 함께 혼합 주파수 RF 파워의 사용은 많은 경우에 우월한 물리적 특성을 가진 막의 증착을 허용한다. 먼저, 상술된 바와 같이, 직선 홀보다 원뿔형 홀의 사용은 프로세스 가스의 증가된 분해, 더 높은 플라즈마 밀도 및 증가된 이온화 효율을 제공한다. 증가된 분해는 사용된 하나 이상의 특정 소스 가스(예를 들어, N2)가 상대적으로 분해되기에 어려운 경우에 실리콘 질화물 막 및 다른 막의 증착에 특히 중요하다. 따라서, 예시로서, 실리콘 질화물 막의 증착시, 원뿔형 홀의 사용은 NH3의 양이 감소하고 질소 공급원으로 사용된 N2의 양이 대응하여 증가하였다. 감소된 NH3는 순차적으로 막에 합체되는 수소 량을 감소시키고 WER을 저하시키는 결과를 발생시켰다. 실리콘 질화물 막의 증착에 본 발명의 장치의 사용은 하기에 더욱 상세히 설명된다.
다른 한편으로, 저주파수 RF 전원의 불순물은 기판 및 증착된 막을 충격을 가하도록 사용된 이온 에너지를 제어한다. 이러한 이온 충격의 증가된 제어는 막 밀도를 개선시키도록 사용될 수 있고, 양호한 응력 제어를 허용하고, 낮은 WER[기술 분야에 숙련된 당업자들이 이해할 수 있는 바와 같이 증착된 막이 (부피로) 6:1 BOE 용액에서 에칭되는 속도]를 제공하고, 전체 막 집적도를 개선시킨다. 실리콘 질화물 막의 증착에서, 이러한 충격은 실란과 암모니아의 반응으로부터 잔존하는 수소를 치환할 수 있어 막의 WER을 개선시킨다.
유사하게, 고주파수 및 저주파수 파형의 분리는 자체의 개선을 제공한다. 예를 들어, 상술된 바와 같이, 샤워헤드(40)의 홀 내에서의 아칭이 CVD 증착에서 문제점이다. 일반적으로, 아칭은 높은 압력에서보다 낮은 챔버 압력에서 더 큰 문제이다. 예를 들어, 혼합 주파수 RF 파워과 원뿔형보다는 직선형 홀을 사용하였던 종래의 실리콘 질화물 혼합 주파수 PECVD 프로세스에서, 아칭은 챔버 압력이 3 torr 이하일 때 문제점을 제공했다. 따라서, 이 프로세스는 낮은 압력은 낮은 VER을 갖는 막이 이러한 낮은 압력에서 분해되기 때문에 바람직할지라도 분해 압력을 3 torr 이상으로 제한하였다. 본 발명의 장치에서, 그러나, 낮은 분해 압력은 아칭없이 사용될 수 있다. 하나의 테스트에서, 원뿔형 홀을 갖는 샤워헤드가 사용될 때조차도, 2.5 torr의 압력이 아칭없이 사용되었다.
분리된 고주파수 및 저주파수 파형은 또한 막 증착에 사용될 수 있도록 고주파수 파워에 상대적으로 저주파수 파워의 증가량을 허용한다. 예를 들어, 상술된 종래 공지된 혼합 주파수 실리콘 질화물 프로세스에서, 아칭은 사용된 저주파수 RF 파워의 양이 사용된 전체 RF 파워의 약 35% 보다 클때마다 심각한 문제로 되었다. 이것은 원뿔형 홀보다는 직선형 홀이 가스 샤워헤드에 사용될 때 심각하였다. 본 발명의 장치에서 수행된 바와 같이 고주파수 및 저주파수 파형이 분리된 상태에서, 사용된 저주파수 RF 파워의 양은 직선형 홀보다는 원뿔형 홀이 사용될 때 동일 실리콘 질화물 증착 프로세스를 사용하여 아칭없이 저체의 약 35%로 증가될 수 있다. 본 발명의 장치는 사용된 전체 RF 파워의 최대 60%의 저주파수 RF 파워이 성공적으로 사용되었다. 상술된 바와 같이, 더 많은 저주파수 파워은 이온 충격을 증가시키므로, 막질(film qualities)을 개선시키도록 사용될 수 있다. 장치 분야에서, 그러나, 바닥에 놓인 층 상에서 충격 증가의 효과를 고려하는 것이 중요하다. 예를 들어, 제공된 막의 증착동안 충격이 너무 높게 설정되면, 개선된 충격이 바로 증착된 층에서 막 특성을 개선시켜도 이미 증착된 막을 손상시킬 수 있으므로, 수득율(yield)을 저하시킨다. 이것은 특히 PMD 막 증착동안 게이트 산화물 집적도에 적절하다.
본 발명자들은 또한 실리콘 질화물 막의 막 특성에 대한 반응기(reactor) 임피던스의 효과를 상세히 분석하였다. 도 8A-8D는 반응기 임피던스에 대한 프로세스 압력의 효과를 나타낸다. 도면에서 각각의 데이타 포인트의 경우(압력 = 1 - 6 torr), 2.0의 굴절지수와 -1.5 X 109dynes/cm2의 압축 응력을 갖는 Si3N4는 동조되었다. SiH4/NH3/N2는 각각 1:2:10의 비율로 선구물질 가스로서 사용된다. 기록된 매개변수들은 도 8A에서는 전압 진폭(상부 전극에서 VHF및 하부 전극에서 VLF)이고, 도 8B에서는 전류 세기(ILF및 IHF)이고, 도 8C에서는 위상각(ΦV/i HF및 ΦV/I LF)이고, 도 8D에서는 임피던스 크기(┃ZHF┃ 및 ┃ZHF┃)이다. 측정은 두개의 상표명 ENI V/I 임피던스 탐침으로 수행되고 하나는 고주파수 특성용 상부에 다른 하나는 저주파수 측정용 바닥에 설치된다. 결과는 ΦHF~ -80°의 위상각과 비교될 수 있는 ΦLF~ -65°의 위상각 뿐만 아니라 저주파수에서 높은 임피던스 크기를 나타낸다. 이것은 반응기의 "자연" 전기 용량의 임피던스(ΦV/i~ -90°)가 저주파수 신호의 부가에 의해 수정된 것을 의미한다. 다른 측정치는 저주파수 파워이 인가되지 않는다면 ΦHF~ -87°의 위상각을 의미한다.
테스트에 사용된 저주파수 신호(350 kHz)는 (이 실시예에서 800 kHz로 측정된) 이온 플라즈마 주파수 아래이다. 그러므로, 이온화된 종류는 이온 이동을 여기시키는 저주파수 바이어스에 응답되었다. 이 이온 이동은 저주파수 파워비가 높다면[예를 들어, WLF/(WLF+ WHF) 〉20%], 플라즈마 벌크 임피던스가 평행 RC 회로로서 설명될 수 없도록 유도 구성요소를 플라즈마로 도입시켰다. 하기에 설명되는 도 11에 도시된 바와 같이, 반응기 임피던스는 RLC 회로(L은 R과 직렬이고 C와 병렬임)로서 "대충" 설명될 수 있다.
(웨이퍼 저주파수 전위 Eion= qionX VLF에 비교하여) 이온 에너지의 주의 깊은 제어는 웨이퍼 표면에서 정확한 이온 충격을 허용한다. 그러나, 도 8A는 히터 전극 전위이 광범위한 압력(2 내지 5 torr) 이상으로 일정하게(~ 460 V) 유지한다는 것을 나타낸다. 도 8C 및 도 8D는 반응기 임피던스가 압력에 관련되고, 위상각 및 임피던스 크기는 압력이 2 torr일 때 최대임을 나타낸다. 이 압력은 또한 Si3N4막 내에서 최소 WER(도 9 참조)에 관련된다는 것으로 알려져 있다. 막 에칭 속도, 위상각 및 임피던스 크기 사이의 상호관계는 막 성장동안 정확한 이온 충격에 적합한 반응기 임피던스와 실리콘 질화물 막 내에 감소된 WER과 같은 개선된 막 특성을 제어하는 중요성을 나타낸다. 동일한 원리는 또한 실리콘 산화물 실리콘 질산화물, 실리콘 탄화물 플루오르화 비결정 탄소 등을 포함하는 다른 막의 증착에 적용되어야 한다.
반응기 임피던스는 또한 LF 바이어스 주파수의 기능으로서 특징된다. LF 주파수는 사인 파형을 사용하여 300 내지 950 kHz까지 가변된다. 도 10A 내지 도 10D는 고주파수 및 저주파수에서 전극 전, 전류 및 반응기 임피던스를 나타낸다. 위상각 및 임피던스 크기의 측정은 단순 구성요소를 사용하는 반응기를 모델링하는 기회를 제공하였다. 스파이스(SPICE) 시뮬레이션은 위상각과 임피던스 크기에 적합한 측정을 정합시키기 위하여 수행되었다. 도 11은 모델링된 회로를 나타낸다. 상술된 정합 네트워크와는 달리, 플라즈마 벌크(plasma bulk; 104) 및 두개의 상이한 플라즈마 외피(100, 102)[모두는 도 1에 관련하여 상술된 반응 구역(58)의 일부임]가 도 11에 도시된다. 플라즈마 벌크는 이온 이동 및 관성을 반영하는 큰 인덕터 값(L = 20 μH)를 갖는 RLC 회로로서 모델링될 수 있다. 상부 외피는 전기적으로 대전된 종류의 발생을 반영하도록 전류 발생기로 구성된다. 다이오드(DO)는 단지 전자 전류가 외피의 일부를 통과할 수 있다는 것을 반영한다. IO값(10A)은 13.56 MHz에서 측정된 값과 잘 일치한다(도 10B).
바닥 외피는 제2인덕터(LO)가 외피를 통한 이온 이동과 유도된 이온 충격을 반영한다는 점을 제외하고는 상부 외피와 유사하다. 또한 전류 발생기(I1= 0.9A)는 측정치(ILF)을 맞추도록 조정된다. 모델은 두개의 외피가 상이(샤워헤드에서 이온화 바닥 전극에서 이온 충격)하다는 가정과 잘 일치한다. 세라믹 페데스탈/히터는 플라즈마 임피던스와 정렬하여 배치된 단순 캐패시터(106)로서 모델링된다. 히터 용량의 공칭값은 전극의 깊이가 40 밀(mil)일 때 2500 pF이다.
도 12A 및 도 12B는 측정된 데이타와 가상 데이타의 비교를 나타낸다. 측정 데이타(각 도면에서 점선)는 C3= 2500 pF으로 산술된 모델(실선)과 잘 일치한다. C3는 기술분야의 숙련된 당업자가 이해되는 바와 같이 금속성 플레이트를 히터 포켓 상에 올려놓고 네트워크 분석기로 용량을 측정함으로써 측량되었다. 다른 곡선들은 히터 용량이 가변할 때 반응기 임피던스 상에 미치는 영향을 나타낸다. 이 데이타로부터 히터 전극 용량은 반응기 임피던스 상에 중요한 영향을 미친다는 것을 알 수 있다. 이 용량은 전극이 내장된 깊이(d)에 의해 결정(C = εS/d이고, 여기서 ε은 AlN의 유전율이고, S는 전극 표면적이고, d는 전극 깊이이다)된다. 그러므로, 히터 제작 프로세스 동안 이 전극 깊이를 정확하게 제어하는 것이 중요하다.
상기 작업 및 특징에 근거하여, 본 발명자들은 본 발명의 CVD 시스템(10)에 두가지 부수적인 개선점을 개발하였다. 이 개선점들 중 첫번째는 CVD 시스템(10)에 대한 임피던스 튜너(108)의 부착을 포함한다. 임피던스 튜너(108)는 캐패시터(106)[페데스탈(32)]과 직렬로 연결되고, 소정의 막 특성을 얻도록 추가 제어 "노브"로서 반응기(30)의 임피던스를 조정하기 위하여, 프로세스 조건에 의존하여, 값을 조정할 수 있는 상태로 도 11에 도시된다. 바람직한 실시예에서, 임피던스 튜너(108)는 가변성 캐패시터이다. 일 예에서, 캐패시터는 전체 반응기 임피던스가 600 내지 2500Ω으로 제어될 수 있도록 선택된다. 다른 실시예에서, 임피던스 튜너(108)는 캐패시터와 병렬로 연결된 가변성 인덕터를 갖는 LC 회로이다. 또 다른 실시예에서, 임피던스 튜너(108)는 우회 스위치(미도시)를 경유하여 CVD 시스템(10)으로부터 분리될 수 있다.
두 번째 개선점은 CVD 시스템(10)에 임피던스 탐침(110)(도 1 및 도 5에 도시됨)의 추가를 포함한다. 임피던스 탐침(110)은 두개의 라인(111A, 111B)에 의해 챔버(30)에 전기적으로 접속된다. 라인(111A)은 페데스탈(32) 내에 내장된 저위 전극(22)과 전기적으로 접속되는 입력 단자(112A)에 접속되는 반면, 라인(111B)은 상위 전극, 페이스플레이트(faceplate; 40)와 전기적으로 접속하고 있는 입력 단자(112B)에 접속된다. 임피던스 탐침(110)은 하나의 제어 라인(3) 위에서 프로세서(85)와 소통하고 있다.
이러한 방식으로 접속될 때, 임피던스 탐침은 기판 프로세싱 동안 반응기의 임피던스를 조절하도록 사용될 수 있고, 적절하다면, 프로세서(85)는 반응기 임피던스에서 어떠한 변화를 보상하도록 프로세싱 조건 또는 임피던스 튜너(108)의 세팅을 조정(예를 들어, 임피던스 튜너가 가변성 캐패시터라면, 가변성 캐패시터의 용량을 조정)할 수 있다. 이것은 특히, 상술된 바와 같이, 몇몇 프로세스에서 반응기(30)의임피던스가 WER 응력, 증착속도, 굴절지수 및 막 두께 균일성과 같은 막 특성에 명확한 효과를 갖기때문에 유용하다. 따라서, 예를 들어, 2000 웨이퍼 프로세스 실행 동안, 프로세서(85)가 반응기 임피던스가 그 실행에 적합하게 이미 한정된 범위의 외부로 드리프트한다면, 반응기 임피던스를 적합하게 조정하고 막 특성이 전체 프로세스 실행 동안 주어진 프로세스에 적합한 작업자의 사양서 내에 있음을 확신하도록 적절한 동작이 웨이퍼 실행동안 취해질 수 있다. 이러한 임피던스 드래프트에 적합하게 조정하도록 취해진 동작은, 이에 한정하지는 않지만, 반응기 챔버 내에서 압력 조정, 고주파수 또는 저주파수 RF 파워을 증감, 그리고, 상술된 바와 같이 임피던스 튜너(108)의 세팅을 조정하는 것을 포함한다. 이 특성은 또한 임피던스 모니터링으로서 언급될 수 있다.
발명자에 의해 이루어지고 상술된 부가적 발견과 함께 상술된 CVD 시스템(10)의 특징 및 설계는 이전에 가능하지 않았던 증착 매개변수의 사용을 포함하는 광범위한 프로세스 조건에 걸쳐서 CVD 시스템(10)이 CVD 막을 증착하는 데 사용될 수 있도록 한다. 장치는 금속간 유전체(IMD; intermetal dielectric) 분야에 적합한 저온 프로세스 막과 프리메탈 유전체(PMD; premetal dielectric) 분야에 적합한 고온 프로세스 막을 포함하는 다양한 CVD 막의 증착에 사용된다. 몇몇 특정 분야는 도핑되지 않은 실리콘 산화물(USG)와 보론 포스포러스 실리케이트 글라스(boron phosphorus silicate glass; BPSG), 포스포러스 실리케이트 글라스(PSG) 및 플루오린-도핑된 실리케이트 글라스(FSG)와 같은 도핑된 실리콘 산화물의 증착을 포함하는 TEOS(테트라에틸오소실리케이트) 또는 실란 기저 PECVD 및 SACVD(subatmospheric CVD) 화학작용을 포함한다. 유사하게, 실리콘 질화물(Si3N4), 실리콘 탄화물(SiOxNy), 비결정 실리콘 및 다른 층들이 본 발명의 장치를 사용하여 증착될 수 있다.
본 발명의 장치에 의해 얻어질 수 있는 폭넓은 프로세스 관리 양식의 예로서, 발명자들은 Si3N4막으로 광대한 작업과, 저온 프로세싱 조건에서 개선된(낮은) 습식 에칭속도, 탁월한 스텝 커버리지, 증가된 막 집적도 및 감소된 핀홀을 갖는 이러한 Si3N4막의 증착을 허용하는 기술을 수행하였다. 발명자들은 또한 많은 열성장 Si3N4층의 것보다 낮은 15 Å/min 미만의 WER을 갖는 고온 PECVD Si3N4막을 개발하였다.
이들 개선된 Si3N4막을 개발 시에, 발명자들은 히터 용량의 효과를 깊이있는 연구와, 이온 충격 상에서 전체 RF 파워에 대한 LF 파워비의 효과와, 다른 요인들 가운데 응력에 대한 WER의 관계를 수행하였다. 이들 연구 경우, Si3N4증착 프로세스는 2.0의 굴절지수와 1.5 X 109dynes/cm2의 압축 응력으로 동조하였다. 따라서, 2500 pF의 히터 공칭 용량은 히터와 직렬로 배치된 임피던스 튜너(108)로서 가변성 캐패시터의 첨가에 의해 감소되었다. 프로세스는 SiH4/NH3/N2을 각각 220/1200/600 sccm의 유속으로 챔버에 도입시켰고, 챔버는 400℃로 가열되었고, 4.0 torr의 압력에 유지되었다. 250 W의 수준에서 고주파수 RF 파워이 가스 분배 매니폴드에 공급되었고 250 W의 저주파수 RF(350 kHz) 파워이 바닥 전극에 공급되었다. 최종적으로, 기판 홀더와 가스 분배 매니폴드 사이의 간격은 425 밀(mil)에 설정되었다. 이들 연구의 결과는 도 14 내지 도 16에 나타나고 하기에 설명된다.
도 14는 막 응력이 페데스탈/히터의 용량에 의해 강력하게 영향을 받음을 나타낸다. 이것은 또한 굴절지수 및 증착막의 균일성이 증가하고 증착 속도는 히터 용량이 감소될 때 감소된다는 것을 알 수 있다. 익서은 막 특성과 반응기 임피던스 사이의 관계를 확인한다. 단일 주파수 프로세스가 혼합 주파수 프로세스보다 적게 영향을 받고, 모델(곡선들 사이의 거리가 더 높은 주파수에서 좁은 도 12A 및 도 12B 참조)에 의해 정확하게 예측되는 현상임을 알 수 있다. 이들 결과는 Si3N4막의 증착에 적합한 히터 용량 상에서 허용치를 명시하도록 사용될 수 있다. 예를 들어, 중심 프로세스 주위에서 ±2 X 109dynes/cm2의 최대 응력 폭주(excursion)를 허용함은, 히터 용량이 2500 pF ± 13% 내에서 제어되어야 한다는 것을 알 수 있다. 이것은 40 밀(mil) + 5.1 및 -3.5의 전극 깊이에 상응한다. 이 허용범위 외부의 값은, 그러나, 임피던스 튜너(108)의 사용을 통해 보정될 수 있다.
막 집적도 및 다른 막 특성은 이온 충격과 밀접하게 관계된다. 상술된 바와 같이, 이온 에너지는 플라즈마 외피 전위에 비례한다. 발명자들은 샤워헤드와 히터 전극의 전위 상에서 저주파수 파워의 영향을 연구하였다. 이들은 또한 13.56 MHz 바이어스에 의해 공통적으로 유도된 자체 DC 바이어스를 기록하였다. 도 15는 저주파수 파워을 증가시키는 영향을 나타낸다. 도 15에서, 전체 RF 파워은 500W에 일정하게 유지되었고, LF 파워을 증가시킴은 히터 전극에서 전압 진폭(VLF)(및 이온 에너지)를 증가시킨다는 것을 알 수 있다. 결론적으로, 샤워헤드에서 전압 진폭(VLF)은 감소한다. 발명자들은, 그러나, 두개 전극 상에서 DC 바이어스는 저주파수 파워이 증가될 때 감소된다는 것을 발견하였다. 이것은 부성(negative) 자체 DC 바이어스가 전극에서 이온 결핍 외피의 형성을 반영한다는 것을 신뢰한다. 이 DC 바이어스는 단일(고) 주파수 프로세스가 사용될 때 200V 보다 클 수 있다. 저주파수 파워의 첨가와 함께, 이온은 더 이상 고정 대전체로서 고려될 수 없다. LF 바이어스는 이온이 플라즈마 외피 내에서 천공하도록 힘을 작용하고, 그러므로 전자적인 대전 효과를 상쇄시키고 DC 구성요소를 감소시킨다. 결과적으로, 이온 에너지는 직접 저주파수 전압 진폭의 제어 하에 있다. 전조(trough)(VLF〈 0) 동안, 양성으로 이온화된 종류(대부분의 이온으로 신뢰됨)는 성장막에 충격을 가한다.
전체 파워에 대한 저주파수 파워의 비[WLF/(WLF+ WHF)]는 막 응력을 동조하기 위한 중요한 "노브"이다. 상술된 바와 같이, 본 발명의 장치의 형상은 프로세싱 조건의 몇몇 세팅 하에서 아킹을 유도시킴없이 LF 파워이 전체 RF 파워의 최대 적어도 60%까지 증가되도록 한다. 도 16은 저주파수 파워을 부가시킴(VLF증가, DC 바이어스 감소)은 2.0의 굴절지수를 갖고 상술된 바와 같이 증착된 SiN 막에서 더 많이 에너지화된 이온 충격에 기인하여 막 밀도를 증가시킨다는 것을 나타낸다. 도 16은 또한 막 WER 및 응력은 밀접하게 관련된 특성임을 나타낸다. 장치 응용의 경우, 완만한 압축 응력(예를 들어, 50 내지 150 MPa)을 가진 막이 일반적으로 요구된다. 본 발명의 반응기 형상 및 플라즈마 임피던스는 높은 집적도의 막과 동조가능한 응력을 제공하기 위하여 WER과 막 응력을 완화시키도록 최적화될 수 있다.
이온 에너지가 히터 전극 전위에 비례한다는 것을 알기때문에, 발명자들은 이온 충격 및 막 특성의 효과와 역할을 결정하도록 다양한 바이어싱 파형 및 주파수를 연구하였다. 세가지 상이한 파형, 사인형, 비대칭형 및 정사각형(도 17A 내지 17D 참조)이 테스트되었다. 각 파형의 경우, 주파수는 가변되고 막 집적도는 특성화(기술분야에 숙련된 당업자에 의해 공지되고 알 수 있는 바와 같이 250Å 에칭 또는 순간 전후에 스텝 커버리지 6:1 BOE 및 핀홀 수행)되었다.
사인 파형(도 17A)은 350 kHz의 주파수로 테스트되었다. 사인 파형은 발명자들에게 공지된 모든 혼합 주파수 PECVD 프로세스에서 이온 충격을 제어하도록 사용된 표준 파형이다. 그러나, 발명자들은 사인 파형이 SiN 막의 증착용으로 적합하지 않다는 것을 알았다. 사실상, 파형은 기판 홀더의 충격과 가스 분배 매니폴드의 충격 사이에서 교체하기 때문에 반 주기 동안 기판의 이온 충격은 없다. 이것은 VWAFER가 양성(positive)일 때, 전자 전류가 웨이퍼를 향하고 이온들은 저위 플라즈마 외피로부터 반발되기 때문이다. 따라서, 이온 충격은 단지 도 17A 내지 도 17D의 빗금친 부분에서만 발생한다.
발명자들은 개선된 막질(film quality)은 비대칭형 파형이 도 17B에 도시된 바와 같이 사용될 때 얻어질 수 있다는 것을 발견하였다. 발명자들은 또한, 저주파수가 낮은 위상각을 조장한다는 것이 관찰(도 10D 참조)되는 바와 같이, 양호한 막 집적도는 저주파수(예를 들어,〈 400 kHz)에서 얻어진다는 것을 발견하였다. 낮은 위상각은 상술된 바와 같이 양호한 막 특성을 제공한다는 것을 발견하였다. 사실상, 상술된 Si3N4막 증착의 경우, 양호한 결과는 50 내지 220 kHz의 주파수에서 얻어지고 50 kHz는 가장 양호한 주파수이다. 이 특정 파형은 새로이 개발된 ENI RPG 발생기에 의해 얻어진다. 사용될 수 있는 다른 형태의 비대칭형 톱니 파형은 도 17C에 도시되어 있다. 이들 형태의 파형들은 과거에 반응성 스퍼터링 증착(예를 들어, Al2O3) 및 진공 아크 플라즈마 증착(예를 들어, DLC, Al2O3및 다른 금속 막)에 적합하게 사용되었지만, 발명자들이 알고 있는 한, PECVD 프로세스에서의 바이어싱 기술로서 사용되지 않는다. 도 17B 및 도 17C에 도시된 파형에서, 파형의 의무 싸이클(duty cycle)은 요구되는 한 막 특성을 재단하도록 조정될 수 있다. 의무 싸이클은 웨이퍼 전위가 양성인 시간의 퍼센트[Z+/(Z++ Z-)]이다. 일반적으로, 10 내지 50 퍼센트인 의무 싸이클을 갖는 것이 바람직하다.
사각형 바이어싱(도 17D)은, 또한 펄스형 DV 바이어싱으로서 언급되었고, 또한 150 kHz로부터 700 kHz까지 가변하는 주파수로 테스트되었다. 프로세스 관리 양식(regime)은 주파수에 의해 영향을 받았다. 따라서, 2.0의 굴절지수와 1.5 X 109dynes/cm2의 압축 응력을 갖는 막을 증착시키도록 다양한 주파수에서 프로세스를 동저할 필요가 있었다. 어떤 경우에, 사각형 파형을 사용함은 빈약한 막 집적도를 야기시킨다는 것을 발견하였다. 펄스형 DC 파형은 기판 홀더의 이온 충격을 선호하지만, 이러한 사각형 파형은 또한 기본적인 고조파를 선호한다. 빈약한 막 집적도가 가파른 부성(negative) 프론트(134)의 응용에 기여할 수 있어, 시스템으로 고조파를 도입시킴으로써 플라즈마 외피 불안정성을 야기시킨다는 것을 발견하였다.
따라서, 도 17B의 비대칭형 파형에 의해 제어된 이온 충격이 도 17A 내지 도 17C의 파형에 비교하여 충격을 증가시키고 개선된 특성을 갖는 막의 증착을 야기시킨다는 것은 상기 테스트로부터 명확하다. 도 17B에 도시된 비대칭형 파형은 또한 톱니형 파형 또는 삼각형, 바이폴라형 파형으로서 설명될 수 있고 고조파가 거의 없는 대부분의 각 싸이클 동안 기판 홀더의 이온 충격을 제공한다. 다른 비대칭형 파형(예를 들어, 도 17C에 도시된 파형)은 또한 이온 충격을 증가시키고 고조파의 형성을 방해하도록 사용될 수 있다. 사실상, 신호 주기에 걸쳐서 이온 에너지의 평균 선형 분포를 갖는 파형이 순수한 사인형 파형 이상으로 바람직하다. 고조파의 기능을 방해하기 위하여, 파형의 전방(부성) 에지의 경사가 후방 에지의 경사보다 크기에서 작은 것이 바람직하다.
결론적으로, 본 발명의 사용을 통해 취득가능한 확대된 프로세스 관리 양식은 저압, 저주파수 RF 파워의 높은 비율 및 암모니아에 대한 질소의 높은 비율에서 상술된 특성을 갖는 막의 증착과 실리콘 질화물 막의 증착을 가능하게 한다. 증착된 실리콘 질화물 막의 WER은 상술된 바와 같이 원뿔형 홀의 샤워헤드에 고주파수 RF 파워를 제공하고 세라믹 기판 홀더에 저주파수 RF 파워를 제공함으로써 50% 정도로 감소되었다. 따라서, 폭넓은 관리 양식에 걸쳐 다양한 프로세스 압력은 증착된 막의 특성을 제어하는 데 중요한 매개변수이다.
본 명세서에서 교시된 바와 같이 고주파수 및 저주파수 RF 파워의 분리는 스텝 커버리지 및 응력 레벨을 희생시키지 않고 개선된 WER 및 다른 특성을 제공한다. 실험적으로, 1:1 종횡비 트렌치(trench)의 측면 및 바닥의 커버리지는 필드 커버리지에 대해 약 65%로 측벽 스텝 커버리지를 제공하고 필드 커버리지에 대해 약 65%의 바닥 스텝 커버리지를 제공하도록 실리콘 질화물 증착 동안 제어될 수 있다. 트렌치 내에서 이러한 균일한 성장은 양호한 등각(conformal) 막과, 막의 후속 에칭에 대한 내성을 갖는 강력한 바닥 모서리를 제공하여, 상대적으로 약한 바닥 모서리를 통해 전체적으로 다른 방식으로 에칭할 수 있다. 본 명세서에 사용된 바와 같이, 측벽 스텝 커버리지, 바닥 스텝 커버리지 및 적합성은 도 13에서의 예시에 대해 정의된다. 도 13에서, 실리콘 질화물 막(120)은 라인들 사이의 갭(126)을 부분적으로 충진하도록 인접한 금속 라인(122 및 124)에 걸쳐서 증착된다. 측벽 스텝 커버리지는 a/b X 100%이다. 바닥 스텝 커버리지는 d/b X 100%이고, 적합성은 a/c X 100%로서 정의된다.
IV. 실험 및 테스트 결과
본 발명은 입수가능한 혼합 주파수 실리콘 질화물 프로세스를 본 발명의 요지 내에 있는 분리된 혼합 주파수 실리콘 질화물 프로세스와 비교하는 하기 예에 의해 계속 설명된다. 예는 패턴화된 웨이퍼 상에 등각 실리콘 질화물 층을 증착시키기 위한 가장 양호한 프로세스 조건을 나타내도록 선택되었다. 각 예에서, 증착된 실리콘 질화물 층들은 2.0의 굴절지수와, -1.6 X 109dynes/cm2의 막 응력과, 많은 현대 장치 분야에 적합한 제작 사양서 내에 있는 값을 갖는다. 이러한 값들을 정확하게 반영하도록 수정될 수 없는 프로세스는 밀접한 특성을 갖는 막을 증착시키도록 조정되었다.
비교예 A 및 B는 본 발명에 따라 수행되지 않은 증착 프로세스를 나타내는 반면 발명예 1 내지 4는 본 발명에 따라 증착되는 프로세스를 나타낸다. 각 예에서, 실리콘 질화물 막은 두개의 밀접하게 이격된 금속 라인들 사이의 갭을 포함하는 스텝형 형태에 걸쳐 증착되었다. 라인들 사이의 거리는 대략 0.5 ㎛이였고 갭의 종횡비는 대략 1:1이였다.
비교예 A
본 비교예는 알루미늄 기판 홀더와 직선형 홀을 갖는 가스 분배 매니폴드를 구비한 CVD 챔버를 사용하여 수행되었다. 고주파수 RF 파워는 가스 분배 매니폴드에 제공되었고 저주파수 RF 파워는 프로세싱 동안 가스 분배 매니폴드로부터 350 밀(mil)에 위치된 웨이퍼를 지지하는 알루미늄 기판 홀더에 제공되었다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 180sccm, 암모니아 720sccm, 질소 1600sccm의 프로세스 가스 유속으로 3.7 torr에서 안정화되었다. 그리고, 100W의 고주파수 RF 파워(13.56 MHz)와 20 W의 저주파수 RF 파워(350 kHz)가 가스 분배 매니폴드에 인가되었다. 실리콘 질화물 막은 1600Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -1.4 X 109dynes/cm2의 응력을 갖는다.
증착된 막은 305Å/min의 WER을 갖고, 막의 상부 250Å을 제거하도록 에칭 용액에 노출될 때, 갭의 바닥 모서리로부터 실질적으로 모든 실리콘 질화물이 제거되었다.
비교예 B
본 비교예는 화학 기상 증착 챔버, 특히 캘리포니아주 산타 클라라의 어플라이드 머티어릴스 인크.에 의해 제작되고 판매되는 "DxZ" 플라즈마 반응기를 사용하여 수행되었다. 반응기는 세라믹 기판 홀더를 포함하도록 수정되었지만, 고주파수 및 저주파수 RF 파워는 가스 분배 매니폴드에 제공되었다. 기판 홀더는 프로세싱 동안 가스 분배 매니폴드로부터 560 밀에 위치된 웨이퍼를 지지하였고, 가스 분배 매니폴드는 원뿔형 홀을 포함하였다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 215sccm, 암모니아 1200sccm, 질소 600sccm의 프로세스 가스 유속으로 4.0 torr에서 안정화되었다. 그리고, 200W의 고주파수 RF 파워(13.56 MHz)가 가스 분배 매니폴드에 인가되었고 200 W의 저주파수 RF 파워(350 kHz)가 가스 분배 매니폴드에 인가되었다. 실리콘 질화물 막은 5560Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -2 X 109dynes/cm2의 응력을 갖는다.
증착된 막은 305Å/min의 WER을 갖는다. 필드로부터 실리콘 질화물의 약 250Å을 제거하는 증착된 막의 에칭은 갭(또는 형태)의 바닥 모서리로부터 실질적으로 모든 실리콘 질화물을 제거하였다.
발명예 1
본 발명예는 화학 기상 증착 챔버, 특히 캘리포니아주 산타 클라라의 어플라이드 머티어릴스 인크.에 의해 제작되고 판매되는 "DxZ" 플라즈마 반응기를 사용하여 수행되었다. 반응기는 본 발명에 따라서 그리고 도 1에 도시된 바와 같이 원뿔 홀의 가스 분배 매니폴드와 세라믹 기판 홀더를 포함하도록 수정되었다. 고주파수 RF 파워는 가스 분배 매니폴드에 제공되었고 저주파수 RF 파워는 세라믹 기판 홀더에 제공되었다. 기판 홀더는 프로세싱 동안 가스 분배 매니폴드로부터 600 밀에 위치된 웨이퍼를 지지하였다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 65 sccm, 암모니아 130 sccm, 질소 1450 sccm의 프로세스 가스 유속으로 2.5 torr에서 안정화되었다. 그리고, 160 W의 고주파수 RF 파워(13.56 MHz)가 가스 분배 매니폴드에 인가되었고 135 W의 저주파수 RF 파워(사인 파형, 350 kHz)가 세라믹 기판 홀더에 인가되었다. 실리콘 질화물 막은 1745Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -1.5 X 109dynes/cm2의 응력을 갖는다. 증착된 막은 180Å/min의 WER을 갖는다.
발명예 2
본 발명예는 발명예 1의 수정된 화학 기상 증착 챔버를 사용하여 수행되었다. 기판 홀더는 프로세싱 동안 가스 분배 매니폴드로부터 480 밀(mil)에 위치된 웨이퍼를 지지하였다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 210 sccm, 암모니아 1200 sccm, 질소 600 sccm의 프로세스 가스 유속으로 4.0 torr에서 안정화되었다. 그리고, 250 W의 고주파수 RF 파워(13.56 MHz)가 가스 분배 매니폴드에 인가되었고 250 W의 저주파수 RF 파워(사인 파형, 350 kHz)가 세라믹 기판 홀더에 인가되었다. 실리콘 질화물 막은 5525 Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -1.6 X 109dynes/cm2의 응력을 갖는다.
증착된 막은 335Å/min의 WER을 갖는다. 필드로부터 실리콘 질화물의 약 250Å을 제거하는 증착된 막의 에칭은 비교예 A에 비교하여 비어(via)의 바닥 모서리에 실질적으로 대부분의 실리콘 질화물을 남겼다. 에징 전에, 비어(via)의 측벽 및 바닥 상의 실리콘 질화물은 필드 상에 증착된 실리콘 질화물 두께의 약 65%이였다. 에칭 후에, 측벽 층은 필드 두께의 35%이였고 바닥 모서리는 필드 두께의 12%이였다.
발명예 3
본 발명예는 발명예 1의 수정된 화학 기상 증착 챔버를 사용하여 수행되었다. 기판 홀더는 프로세싱 동안 가스 분배 매니폴드로부터 490 밀(mil)에 위치된 웨이퍼를 지지하였다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 200 sccm, 암모니아 1200 sccm, 질소 600 sccm의 프로세스 가스 유속으로 4.0 torr에서 안정화되었다. 그리고, 170 W의 고주파수 RF 파워(13.56 MHz)가 가스 분배 매니폴드에 인가되었고 250 W의 저주파수 RF 파워(사인 파형, 350 kHz)가 세라믹 기판 홀더에 인가되었다. 실리콘 질화물 막은 4625 Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -2 X 109dynes/cm2의 응력을 갖는다.
증착된 막은 293Å/min의 WER을 갖는다. 발명예 2까지 이들 결과의 비교는 고주파수 입력을 가변시킬 때 전체 RF 파워에 대한 저주파수 RF 파워의 비와 WER 사이의 전도 관계(inverse relationship)을 증명한다.
발명예 4
본 발명예는 발명예 1의 수정된 화학 기상 증착 챔버를 사용하여 수행되었다. 기판 홀더는 프로세싱 동안 가스 분배 매니폴드로부터 540 밀(mil)에 위치된 웨이퍼를 지지하였다.
반응기는 400℃의 온도에서 0.1 torr의 압력 아래로 펌프된 후 실란 200 sccm, 암모니아 400 sccm, 질소 4500 sccm의 프로세스 가스 유속으로 4.0 torr에서 안정화되었다. 그리고, 170 W의 고주파수 RF 파워(13.56 MHz)가 가스 분배 매니폴드에 인가되었고 이온 충격을 향상시키도록 삼각형 파형을 갖는 250 W의 바이폴라(톱니형) 저주파수 RF 파워(50 kHz, 4040 ns)가 세라믹 기판 홀더에 인가되었다. 실리콘 질화물 막은 3700 Å/min으로 증착되었다. 증착된 막은 2.0 굴절지수와 -2 X 109dynes/cm2의 응력을 갖는다.
증착된 막은 또한 232 Å/min의 WER을 갖는다. 필드로부터 실리콘 질화물의 약 250Å을 제거하는 증착된 막의 에칭은 비교예 A에 비교하여 비어(via)의 바닥 모서리에 실질적으로 대부분의 실리콘 질화물을 남겼다. 에징 전에, 비어(via)의 측벽 및 바닥 상의 실리콘 질화물 층은 필드 상에 증착된 실리콘 질화물 층 두께의 약 57%였다. 에칭 후에, 측벽 층은 필드 두께의 41%였고 바닥층은 필드 두께의 18%였다.
상기 내용은 본 발명의 소정의 바람직한 실시예에 관한 것이지만, 본 발명의 다른 실시예는 본 발명의 기본 요지로부터 벗어나지 않고 개량될 수 있다. 예를 들어, 어떤 다른 실시예는 막 특성을 더욱 개선시키기 위하여 제작업자가 플라즈마 화학대 플라즈마 밀도를 선정하도록 펄스형 플라즈마를 사용할 수 있다. 이러한 펄스형 플라즈마 프로세스에 사용된 고주파수 파형의 예는 도 18에 도시되어 있다. 도 18에서, 13.56 MHz 파형은 ON 및 OFF 싸이클 사이에서 교번하는 것처럼 도시된다. 파형은 각 ON 싸이클 동안 플라즈마 생성 반응성 종류를 형성하고 각 OFF 싸이클 동안 플라즈마 화학이 증착을 제어하도록 한다. OFF 시간에 대한 ON 시간의 비는 의무 싸이클로서 언급된다. 펄스형 플라즈마 증착 기술은 많은 CVD 프로세스에 응용가능하고 특히 본 발명의 장치에서 비결정 플루오로카본 및 다른 저위 K 분극막을 증착시킬 때 유용하다. 또 다른 실시예에서, 임피던스 튜너(108)는 성장 막에 충격을 가하기 위하여 플라즈마를 형성시키고 플라즈마를 바이어스시키도록 혼합형 주파수 RF 파워이외의 다른 방법을 사용하는 CVD 챔버의 임피던스를 조정하도록 사용된다. 특정 챔버 및 증착 프로세스에 적합한 제어 노브를 제공하기 위해 임피던스 튜너(108)에 필요한 요구는 플라즈마의 임피던스가 하나 이상의 프로세스 매개변수에 대해 가변한다는 것이다. 다른 대안과 함께 이러한 대안 및 등가는 본 발명의 요지 내에서 포함될 수 있도록 의도된다.

Claims (15)

  1. 반응 구역을 포함하는 증착 챔버와,
    제1 RF 전극을 포함하며 하나 이상의 프로세스 가스를 상기 반응 구역으로 공급하는 가스 유입 매니폴드를 포함하는 가스 분배 시스템으로서, 상기 가스 유입 매니폴드가 다수의 홀을 포함하고, 각각의 상기 홀이 상기 반응 구역으로 개방하는 배출구와 상기 배출구로부터 이격 분리된 유입구를 포함하고, 상기 배출구가 상기 유입구보다 큰 직경으로 구성되는 가스 분배 시스템과,
    상기 반응 구역 내에 기판을 위치설정하고, 제2 RF 전극을 포함하는 기판 홀더와,
    상기 제1 전극에 결합된 고주파수 RF 파워 전원과 상기 제2 전극에 결합된 저주파수 RF 파워 전원을 포함하는 혼합 주파수 RF 파워 공급기와,
    상기 저주파수 RF 파워 전원에 의해 생성된 파형으로부터 상기 고주파수 RF 파워 전원에 의해 생성된 파형을 분리시키는 필터 및 정합 네트워크로 구성되는 기판 처리 시스템.
  2. 제 1 항에 있어서, 상기 저주파수 RF 파워 전원은 상기 기판 홀더를 향한 이온 충격을 선호하고 고조파의 형성을 방해하는 파형을 발생시키는 시스템.
  3. 제 2 항에 있어서, 상기 저주파수 파워 전원은 상기 기판 홀더에 삼각형, 바이폴라 파형을 제공하는 시스템.
  4. 제 1 항에 있어서, 상기 홀 각각은 원뿔식으로 형성된 수직 단면을 갖는 시스템.
  5. 제 4 항에 있어서, 상기 기판 홀더는 세라믹 재료로 구성되고, 상기 제2 RF 전극은 상기 세라믹 재료에 내장되는 시스템.
  6. 기판 프로세싱 챔버에서 기판 상에 막을 증착시키기 위한 방법에 있어서,
    (a) 가스 분배 시스템의 가스 유입 매니폴드 내 다수의 홀을 통해 기판 프로세싱 챔버의 반응 구역으로 하나 이상의 프로세스 가스를 도입시키는 단계와,
    (b) 고주파수 RF 동력을 상기 가스 유입 매니폴드에 인가시킴으로써 상기 하나 이상의 프로세스 가스로부터 플라즈마를 형성하는 단계와,
    (c) 상기 기판의 이온 충격을 제어하도록 프로세싱되는 기판을 지지하는 기판 홀더 내의 전극에 저주파수 RF 파워를 인가하는 단계로 구성되며,
    상기 (a)단계에서, 상기 홀 각각은 상기 반응 구역으로 개방하는 배출구와 상기 배출구로부터 이격 분리된 유입구를 포함하고, 상기 배출구가 상기 유입구보다 큰 직경으로 구성되는, 기판 상에 막을 증착시키는 방법.
  7. 제 6 항에 있어서, 상기 홀 각각은 원뿔식으로 형성된 수직 단면을 갖는 방법.
  8. 제 6 항에 있어서, 상기 기판 홀더는 세라믹 재료로 구성되고 상기 전극은 상기 세라믹 재료에 내장되는 방법.
  9. 제 6 항에 있어서, 상기 저주파수 RF 파워 전원은 삼각형, 바이폴라 파형을 생성하는 방법.
  10. 제 6 항에 있어서, 전체 RF 파워에 대한 저주파수 RF 파워의 비는 50% 이상인 방법.
  11. 제 6 항에 있어서, 상기 하나 이상의 프로세스 가스는 실란, 암모니아 및 질소를 포함하는 방법.
  12. 제 11 항에 있어서, 전체 RF 파워에 대한 저주파수 RF 파워의 비는 35% 이상인 방법.
  13. 제 11 항에 있어서, 전체 RF 파워에 대한 저주파수 RF 파워의 비는 50% 이상인 방법.
  14. 제 11 항에 있어서, 상기 반응 구역은 막의 증착 동안 2.0 내지 3.0 torr의 압력에 유지되는 방법.
  15. 제 11 항에 있어서, 상기 반응 구역은 막의 증착 동안 2.5 내지 3.0 torr의 압력에 유지되는 방법.
KR1020007005979A 1997-12-01 1998-11-25 혼합 주파수의 화학기상증착 방법 및 장치 KR100583606B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/980,520 1997-12-01
US08/980,520 US6098568A (en) 1997-12-01 1997-12-01 Mixed frequency CVD apparatus
US8/980,520 1997-12-01
PCT/US1998/024928 WO1999028533A1 (en) 1997-12-01 1998-11-25 Mixed frequency cvd process and apparatus

Publications (2)

Publication Number Publication Date
KR20010032695A true KR20010032695A (ko) 2001-04-25
KR100583606B1 KR100583606B1 (ko) 2006-05-26

Family

ID=25527622

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007005979A KR100583606B1 (ko) 1997-12-01 1998-11-25 혼합 주파수의 화학기상증착 방법 및 장치

Country Status (7)

Country Link
US (2) US6098568A (ko)
EP (1) EP1036214B1 (ko)
JP (1) JP4365528B2 (ko)
KR (1) KR100583606B1 (ko)
DE (1) DE69819030T2 (ko)
TW (1) TW407304B (ko)
WO (1) WO1999028533A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
KR200449200Y1 (ko) * 2008-06-03 2010-06-24 주식회사 테스 화학기상증착장치의 고주파 차단용 필터유닛
KR20150037621A (ko) * 2013-09-30 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
JPH11193470A (ja) * 1997-12-26 1999-07-21 Canon Inc 堆積膜形成装置及び堆積膜形成方法
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US6326861B1 (en) * 1999-07-16 2001-12-04 Feltech Corporation Method for generating a train of fast electrical pulses and application to the acceleration of particles
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6622286B1 (en) * 2000-06-30 2003-09-16 Lam Research Corporation Integrated electronic hardware for wafer processing control and diagnostic
US6777037B2 (en) * 2001-02-21 2004-08-17 Hitachi, Ltd. Plasma processing method and apparatus
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6664202B2 (en) 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
KR20040007963A (ko) * 2002-07-15 2004-01-28 삼성전자주식회사 단원자층 증착 반응장치
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US6811831B1 (en) * 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7049751B2 (en) * 2003-07-16 2006-05-23 Advanced Energy Industries, Inc Termination of secondary frequencies in RF power delivery
US6995545B2 (en) * 2003-08-18 2006-02-07 Mks Instruments, Inc. Control system for a sputtering system
US7098428B1 (en) * 2004-02-04 2006-08-29 Brent Elliot System and method for an improved susceptor
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
GB0508706D0 (en) * 2005-04-28 2005-06-08 Oxford Instr Plasma Technology Method of generating and using a plasma processing control program
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
ATE441203T1 (de) * 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US7829159B2 (en) * 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP5448456B2 (ja) * 2006-01-18 2014-03-19 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 円板状の基板の脱ガスをする装置
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
DE102006005128B4 (de) * 2006-02-04 2008-09-25 Hüttinger Elektronik GmbH & Co. KG Verfahren und Vorrichtung zur Lastanpassung
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080083979A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
CN101611472B (zh) * 2007-01-12 2015-03-25 威科仪器有限公司 气体处理系统
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090255798A1 (en) * 2008-04-12 2009-10-15 Gaku Furuta Method to prevent parasitic plasma generation in gas feedthru of large size pecvd chamber
CN101999158A (zh) * 2008-04-12 2011-03-30 应用材料股份有限公司 等离子体处理设备与方法
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
JP5156552B2 (ja) * 2008-09-08 2013-03-06 富士フイルム株式会社 ガスバリアフィルムの製造方法
JP5295833B2 (ja) * 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
DE102011004782A1 (de) 2011-02-25 2012-08-30 Harting Kgaa Ablösbare Mikro- und Nanobauteile für platzsparenden Einsatz
US8861167B2 (en) 2011-05-12 2014-10-14 Global Plasma Solutions, Llc Bipolar ionization device
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
JP5172993B2 (ja) * 2011-06-10 2013-03-27 シャープ株式会社 テクスチャ構造の形成方法および太陽電池の製造方法
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
TWI525887B (zh) * 2011-11-14 2016-03-11 財團法人金屬工業研究發展中心 導氣電極板
KR101339981B1 (ko) 2011-11-29 2013-12-11 (주)티티에스 기판 지지 모듈
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6497248B2 (ja) * 2015-07-13 2019-04-10 住友電気工業株式会社 ウェハ保持体
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
EP3560301B1 (de) * 2016-12-23 2021-01-20 Plasmatreat GmbH Düsenanordnung und vorrichtung zur erzeugung eines atmosphärischen plasmastrahls
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10304663B1 (en) 2018-07-19 2019-05-28 Lam Research Corporation RF generator for generating a modulated frequency or an inter-modulated frequency
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11515123B2 (en) * 2018-12-21 2022-11-29 Advanced Energy Industries, Inc. Apparatus and system for modulated plasma systems
US11804362B2 (en) * 2018-12-21 2023-10-31 Advanced Energy Industries, Inc. Frequency tuning for modulated plasma systems
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4284489A (en) * 1978-09-28 1981-08-18 Coulter Systems Corporation Power transfer network
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
AT388814B (de) * 1985-11-15 1989-09-11 Paar Anton Kg Verfahren und vorrichtung zum erzeugen eines hf-induzierten edelgasplasmas
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
JPH0630351B2 (ja) * 1987-03-31 1994-04-20 株式会社東芝 半導体製造装置のクリ−ニング終点判定方法
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5267020A (en) * 1987-10-06 1993-11-30 Stanford University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 ghz
US5352994A (en) * 1987-10-06 1994-10-04 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated nonlinear transmission line impedance transformer
US5378939A (en) * 1987-10-06 1995-01-03 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 Ghz
US5121067A (en) * 1987-10-06 1992-06-09 Board Of Regents Of Leland Stanford University Directional sampling bridge
US5256996A (en) * 1987-10-06 1993-10-26 The Board Of Trustees Of The Leland Stanford, Junior University Integrated coplanar strip nonlinear transmission line
JPH0791645B2 (ja) * 1989-04-28 1995-10-04 株式会社日立製作所 薄膜形成装置
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
DE69032952T2 (de) * 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Trocken-Behandlungsvorrichtung
US5155547A (en) * 1990-02-26 1992-10-13 Leco Corporation Power control circuit for inductively coupled plasma atomic emission spectroscopy
US5238630A (en) 1990-02-26 1993-08-24 The Dow Chemical Company In-mold labeling method
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5189343A (en) * 1991-08-27 1993-02-23 Everbrite, Inc. High frequency luminous tube power supply having neon-bubble and mercury-migration suppression
EP0605980A3 (en) * 1993-01-07 1995-08-02 Ramtron Int Corp Layering process for depositing silicon nitride and silicon oxynitride.
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JP3663716B2 (ja) * 1996-02-05 2005-06-22 株式会社日立製作所 四重極イオン蓄積リング
KR100193862B1 (ko) * 1996-03-19 1999-06-15 윤종용 안정된 주파수를 얻기 위한 주파수변환기
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
KR200449200Y1 (ko) * 2008-06-03 2010-06-24 주식회사 테스 화학기상증착장치의 고주파 차단용 필터유닛
KR20150037621A (ko) * 2013-09-30 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Also Published As

Publication number Publication date
JP2001525604A (ja) 2001-12-11
EP1036214B1 (en) 2003-10-15
US6358573B1 (en) 2002-03-19
US6098568A (en) 2000-08-08
DE69819030D1 (de) 2003-11-20
DE69819030T2 (de) 2004-06-24
JP4365528B2 (ja) 2009-11-18
KR100583606B1 (ko) 2006-05-26
TW407304B (en) 2000-10-01
WO1999028533A1 (en) 1999-06-10
EP1036214A1 (en) 2000-09-20

Similar Documents

Publication Publication Date Title
KR100583606B1 (ko) 혼합 주파수의 화학기상증착 방법 및 장치
US7004107B1 (en) Method and apparatus for monitoring and adjusting chamber impedance
US6041734A (en) Use of an asymmetric waveform to control ion bombardment during substrate processing
US6136388A (en) Substrate processing chamber with tunable impedance
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
KR100870853B1 (ko) 플라즈마 공정용 플라즈마 전하의 손상을 감소시키는 방법
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
KR100298486B1 (ko) 낮은유전상수이산화실리콘샌드위치층및형성방법
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
JPH10144683A (ja) Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 13

EXPY Expiration of term