KR100298486B1 - 낮은유전상수이산화실리콘샌드위치층및형성방법 - Google Patents

낮은유전상수이산화실리콘샌드위치층및형성방법 Download PDF

Info

Publication number
KR100298486B1
KR100298486B1 KR1019970036803A KR19970036803A KR100298486B1 KR 100298486 B1 KR100298486 B1 KR 100298486B1 KR 1019970036803 A KR1019970036803 A KR 1019970036803A KR 19970036803 A KR19970036803 A KR 19970036803A KR 100298486 B1 KR100298486 B1 KR 100298486B1
Authority
KR
South Korea
Prior art keywords
layer
dielectric constant
thickness
chamber
gap
Prior art date
Application number
KR1019970036803A
Other languages
English (en)
Other versions
KR19980018280A (ko
Inventor
티루넬벨리 에스. 라비
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19980018280A publication Critical patent/KR19980018280A/ko
Application granted granted Critical
Publication of KR100298486B1 publication Critical patent/KR100298486B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

금속 라인 사이의 갭 충전을 위한 이산화 실리콘층의 개선된 샌드위치층. 상기 샌드위치층은 4.0이하, 바람직하게 대략적으로 3.5이하의 유전상수를 가지는 장벽층을 제공하기 위하여 TEOS 및 플루오르 함유 화합물을 사용하여 PECVD 공정에서 형성된 제 1층을 사용하여 이루어진다. 그후, SACVD 공정은 갭 충전층을 형성하기 위하여 TEOS가 사용된다. 각 층의 두께를 적당히 선택함으로써, 두 개의 다른 층의 유전 상수 결합 값, 바람직하게 대략적으로 3.6-3.7의 유전 상수를 제공하는 값으로 유전체를 조절할 수 있다.

Description

낮은 유전 상수 이산화 실리콘 샌드위치층 및 형성 방법
본 발명은 반도체 기판상 금속층의 갭을 충전하기 위한 샌드위치 이산화 실리콘층에 위한 것이다.
현대 반도체 소자의 제조에 있어 중요한 단계중의 하나는 가스의 화학 반응에 의해 반도체 기판상에 박막을 형성하는 것이다. 상기 증착 공정은 화학 기상 증착 또는 CVD로 불린다. 종래 열 CVD 공정은 열 유도 화학 반응이 목표된 필름을 생성하기 위하여 발생하는 경우 반응 가스를 기판에 공급한다. 일부 열 CVD 공정의 고온작업으로 인해 금속층을 포함하는 소자 구조가 손상될 수 있다.
상대적으로 낮은, 비손상 온도에서 금속층 위로 절연막을 증착하기 위하여 개발된 독특한 열 CVD 공정은 테트라에틸로소실리케이트(TEOS) 및 오존(O3) 선구 가스로 이산화 실리콘층의 증착하는 단계를 포함한다. 상기 TEOS/오존 산화 실리콘 필름은 약 20-700 토르 범위에서 주의깊게 제어된 압력 조건하에서 증착될 수 있고, 그러므로 대기중 이하의 CVD(SACVD) 필름으로 일반적으로 불린다. 오존과 TEOS의 높은 반응성은 화학 반응을 발생시키기 위하여 요구된 외부 에너지를 감소시키고, 상기 SACVD 공정을 위하여 요구된 온도를 보다 낮춘다.
상대적으로 낮은 온도로 금속층상에 산화 실리콘층을 증착하는 다른 CVD 방법은 플라즈마 강화 CVD(PECVD) 기술을 포함한다. 플라즈마-향상 CVD 기술은 무선 주파수(RF)의 인가에 의해 기판 표면 근처 반응 영역에 대해 반응 가스의 여기 및/또는 해리를 진행시킨다. 방출된 이온화 종의 높은 반응성은 화학 반응을 발생시키기 위하여 요구된 에너지를 감소시켜 상기 PECVD 공정에서 요구되는 온도를 낮춘다.
반도체 소자 구조는 상기 소자가 몇십년 전에 도입되었기 때문에 크기에서 상당히 감소되었다. 그렇기 때문에, 집적 회로는 2년/하프-사이즈 룰(종종 무어의 법칙이라 불린다)에 따르고, 이것은 칩상에 설치할 소자의 수가 매 2년동안 2배이다는 것을 의미한다. 오늘날 웨이퍼 제조 공장은 0.5 및 0.35 ㎛ 크기를 가지는 소자를 생산하고, 머지않은 미래의 공장에서는 보다 작은 구조의 소자를 생산하게 될 것이다.
소자 크기가 보다 작아지고 집적 밀도가 커지면, 매우 중요한 하나의 문제점은 인접 금속 라인 사이같은 밀접하게 간격진 갭을 채우기 위한 절연층의 증착 가능성(필름의 "갭 충전" 가능성이라 불린다)이다. 2:1 또는 보다 높은 종횡비를 가지는 갭을 성공적으로 채우기 위하여 사용된 한가지 공정은 PECVD 및 SACVD 공정 양쪽을 사용하는 두 개의 층("샌드위치" 층) 이산화 실리콘 필름의 증착이다. 상기 하나의 필름에서, 얇은 PECVD 이산화 실리콘의 제 1층(PECVD 정렬층)은 TEOS 및 산소의 플라즈마로부터 기판(예를들어, 밀접하게 간격진 금속 라인)의 계층적 지질 구조상에 증착된다. TEOS 및 오존(산소에서 4-8% 중량 퍼센트 오존) 선구 가스를 사용하여 SACVD 처리에 의해 형성된 제 2 산화 실리콘층(SACVD 층)은 정렬층의 상부에 증착된다. PECVD 정렬층은 위에 놓여있는 SACVD층에 대한 초기 정렬층 및 확산 장벽으로 작용한다; 그것은 금속 라인들 사이 갭의 부분을 채우고 SACVD층의 균일성 및 증착비를 개선시킨다.
2개 층을 형성하는 방법중 하나는 하나의 챔버에서 제 1층을 증착하고 SACVD 필름을 증착하기 위하여 제 2반응 챔버로 웨이퍼를 이동시키는 것이다. 둘째, 바람직한 방법은 양쪽 SACVD(20-700 토르) 및 PECVD(0.5-20 토르) 공정 양쪽에 대한 가능성을 가지는 챔버를 사용하여, 전체 증착 시퀀스가 제자리 공정인 것이다. 상기 제자리 공정은 참조로써 사용되고 본 발명의 양수인인 어플라이드 머티어리얼스 인코포레이티드에 양도되었으며 창등에 의해 발행되고 발명의 명칭이 "이산화 실리콘의 열적 화학 기상 증착 및 제자리 다중 단계 평탄화 공정을 위한 열적 CVD/PECVD 반응로 및 상기 반응로 이용방법인 미합중국특허 제 5,000,113 호에 상세히 기술된다.
이런 기술에 의해 충전된 갭(52)에 의해 분리된 알루미늄 라인(50)을 갖는 종래 기술 반도체 구조의 단면은 도 2에 도시된다. 도 2에 도시된 바와같이, 갭(52)은 TEOS를 가지는 PECVD 공정을 사용하여 형성된 도핑되지 않은 산화 실리콘층(54)으로 우선 채워진다. 이것은 압축한 장벽층을 생성하고 직접적으로 금속상에 증착된다. 추후에, 제 2도핑되지 않은 산화층(56)은 갭(52)의 잔류 부분을 채우기 위하여 오존 및 TEOS를 가지는 SACVD 공정을 사용하여 증착된다. SACVD 증착 공정은 층(56)에 대한 우수한 갭 충전 능력을 제공한다. SACVD층이 비교적 느린 증착 비율을 가지기 때문에, 때때로 제 1 두 개의 층의 상부에 제 3 도핑되지 않은 산화 실리콘 PECVD층을 증착하는 것이 목표된다. 이런 PECVD층(층 58로 도시된)은 빠르게 증착될 수 있고 , 한층의 목표된 두께를 증착하기 위하여 요구된 시간을 감소시킨다. 원한다면, 제 3층은 화학 기계적 연마(CMP) 또는 다른 기술을 사용하여 평탄화 시킬 수 있다.
다른 공정에서, 할로겐을 함유한 가스 및 산소 가스의 결합시 TEOS는 어플라이드 머티어리얼스 인코포레이티드에 양도되고 발명의 명칭이 "반도체 소자용 박막 형성 방법"인 공동출원중인 미합중국특허출원 제 08/184,331 호에 개시된 바와같은 미크론 이하 구조의 갭을 채우기 위한 기술로서 사용된다. 적당한 압축력을 가지는 절연층을 제공하기 위하여, 하나의 기술은 플루오르 함유 가스 같은 TEOS 및 할로겐 함유 가스를 사용하는 제 1 PECVD 공정, TEOS 가스 및 비할로겐 함유 가스를 가지는 제 2 PECVD 공정을 사용함으로써 필름을 증착한다. 이런 기술은 발명의 명칭이 "반도체 기판상에 형성되고 보이드의 실질적 부재, 높은 압축력, 낮은 유전 상수 및 낮은 흡습성을 특징으로 하는 산화 실리콘 구조층 및 그것의 제조 방법"인 미합중국특허출원 제 08/259,608 호에 개시된다.
미크론 이하 구조에서, 종횡비 2:1 또는 그 이상을 가지는, 도 2에 도시된 갭(52)에서의 캐패시턴스는 중요하다. 도 2에 기술된 공정에서, 층(54)의 유전 상수는 층(56)의 유전 상수가 약 4.1인동안 통상적으로 약 4.0이다. 0.35 마이크로미터보다 작은 갭의 처리를 위하여, 도 2에 도시된 바와같이 샌드위치층의 다른 장점을 유지하면서 갭 충전 이산화 실리콘이 보다 낮은 유전 상수를 가지는 것이 바람직하다.
본 발명의 목적은 금속 또는 다른 전도 라인 사이에 갭을 충전하기 위한 산화 실리콘층의 개선된 샌드위치 층을 제공하는 것이다.
도 1A는 본 발명에 따른 간략화된 화학 기상 증착 장치의 일실시예 수직 단면도.
도 1B는 하나 이상의 챔버를 포함할 수 있는 다중 챔버 시스템에서 시스템 모니터 및 CVD 시스템(10)의 간략도.
도 1C는 특정 실시예에 따라 시스템 제어 소프트웨어(컴퓨터 프로그램 70)의 계층적 제어 구조의 블록도.
도 2는 종래 기술 갭 충진 이산화 실리콘 샌드위치층의 단면도.
도 3은 본 발명의 일실시예에 따른 산화 실리콘 샌드위치층의 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : CVD 시스템 11 : 분기관
115 : 진공 챔버 17 : 조절판
18 : 공급 라인 19 : 혼합 시스템
24 : 진공 분기관 25 : RF 전원장치
31 : 배출 라인 32 : 트로틀 밸브
42 : 매칭회로 44 : 저주파 RF 발생기
48 : 고주파 필터 46 : 외부 램프 모듈
상기 목적은 4.0 이하, 바람직하게 약 3.5 의 유전 상수를 가지는 장벽층을 형성하기 위하여 TEOS 및 플루오르 함유 화합물을 사용하는 PECVD 공정에 사용된 제 1층을 사용하여 달성된다. 추후에, SACVD 공정은 갭 충전 층을 형성하기 위하여 TEOS가 사용된다. 각 층에 대한 적당한 두께의 선택은 유전 상수가 두 개의 다른 층의 유전 상수를 결합한 값으로 조절되게 하여, 대략적으로 유전상수는 3.6 내지 3.7이 바람직하다.
바람직한 실시예에서, 사용된 플루오르 화합물은 SiF4또는 C2F6이다. DL 초기 층은 바람직하게 도핑되지 않은 실리케이트 유리(USG) 층인 제 2층을 가지는 플루오르 실리케이트 유리(FSG)층이다. 0.3 마이크로미터의 갭 간격에 대하여, 바람직하게 두 개의 측벽은 각각 700Å, 총 1400Å의 두께로 제 1 FSG층이 커버된다. 그리고나서 SACVD USG층은 대략적으로 1600Å의 잔류 갭을 채우고, 결과적인 유전 상수는 대략적으로 3.7이다.
본 발명의 성질 및 장점을 완전하게 이해하기 위하여, 첨부 도면과 관련하여 다음 설명이 이루어진다.
Ⅰ. 예시적 CVD 반응 챔버
도 1은 본 발명에 따른 유전층이 증착되는 진공 챔버(15)를 가지는 간략화된, 평행판 플라즈마 향상 화학 기상 증착(PECVD) 시스템(10)의 일실시예를 도시한다. CVD 시스템(10)은 분기관(11)의 관통 홀을 통하여 서셉터(12)상에 놓여있는 웨이퍼(도시되지 않음)로 처리 가스를 분산하기 위한 가스 분배 분기관(11)을 포함한다. 서셉터(12)는 열적 응답이 크고 서셉터(12)(및 서셉터 12의 상부 표면에 설치된 웨이퍼)가 보다 낮은 로딩/오프 로딩 위치 및 분기관(11)에 밀접하게 인접한 상부 처리 위치(14) 사이로 제어 가능하게 이동될 수 있도록 지지대(13)상에 설치된다. 중앙 보드(도시되지 않음)는 웨이퍼의 위치 정보를 제공하기 위한 센서를 포함한다.
서셉터(12) 및 웨이퍼가 처리 위치(14)에 있을 때, 그것들은 환형 진공 분기관(24)쪽으로 배출하는 다수의 간격진 홀(23)을 가지는 조절판(17)에 의해 둘러싸진다. 증착 및 캐리어 가스는 공급 라인(18)을 통하여 가스가 결합되고 분기관(11)으로 보내지는 혼합 시스템(19)에 공급된다. 일반적으로, 각각의 처리 가스에 대한 공급 라인(18)은 챔버쪽으로 처리 가스의 흐름을 자동적으로 또는 수동으로 닫기 위하여 사용될 수 있는 (ⅰ) 안전 셧 오프(shut-off) 밸브(도시되지 않음), 및 공급 라인을 통하여 가스 또는 액체의 흐름을 측정하는 (ⅱ) 중량 흐름 제어기(20)를 포함한다. 독가스가 처리시 사용될 때, 몇몇 안전 셧 오프 밸브는 종래 구조에서 각각의 가스 공급 라인에 배치된다. 증착 및 캐리어 가스가 가스 혼합 시스템(19)에 공급되는 비율은 액체 또는 가스 중량 흐름 제어기(20) 및/또는 밸브에 의해 제어된다. 처리 동안, 분기관(11)에 공급된 가스는 화살표(21 및 22)에 의해 지시된 바와같이 층 흐름으로 웨이퍼의 표면쪽으로 새어나가고 웨이퍼의 표면을 가로질러 방사적으로 균일하게 분배된다. 그리고나서 배출 시스템은 포트(23)를 통하여 원형 진공 분기관(24)으로 가스를 배출하고 진공 펌프 시스템(도시되지 않음)에 의해 배출 라인(31)밖으로 배출된다. 가스가 배출 라인(31)을 통하여 방출되는 비율은 트로틀 밸브(32)에 의해 제어된다.
CVD 시스템(10)에서 수행된 증착 처리는 열 처리 또는 플라즈마 강화 공정일 수 있다. 이런 CVD 시스템은 하드웨어 변형없이, SACVD 처리(20-700 토르) 및 PECVD 처리(0.5-20 토르) 양쪽을 수행하는 능력을 가진다. 열적 처리는 통상적으로 350-500℃ 범위의 온도에서 오존 및 TEOS를 사용하는 SACVD 처리이다. 플라즈마 처리시, 제어된 플라즈마는 RF 파워 서플라이(25)로부터 분기관(11)에 인가된 RF 에너지에 의해 웨이퍼 주변에서 형성된다. 분기관(11)은 RF 전극이고, 서셉터(12)는 접지된다. RF 파워 서플라이(25)는 챔버(15)에 도입된 반응 종의 분해를 향상시키기 위하여 분기관(11)에 단일 또는 혼합 주파수 RF 전력(또는 다른 목표된 변화)을 공급할 수 있다. 혼합된 주파수 RF 전력은 고주파 RF 발생기(40)(RF1) 및 대응 매칭 회로(42) 및 저주파 RF 발생기(44)(RF2) 및 대응 매칭 회로(46)에 의해 생성된다. 고주파 필터(48)는 고주파 발생기(40)에 의해 생성된 전압이 저주파 발생기를 손상시키는 것을 방지한다.
외부 램프 모듈(46)에 의해 열이 분배된다. 외부 램프 히터 모듈(26)은 석영 창문(28)을 통하여 서셉터(12)의 환형 외부 주변 부분에 주준된 환형 패턴의 광(27)을 제공한다. 상기 열 분배는 서셉터의 자연적인 열 손실 패턴을 보상하고 증착을 이루기 위하여 빠른 열 및 균일한 서셉터 및 웨이퍼 가열을 제공한다.
전형적으로, 어떤 또는 모든 챔버 내부, 가스 분배 분기관 면판, 지지대(13) 및 다양한 다른 반응 하드웨어는 알루미늄 또는 양극화된 알루미늄으로 만들어진다. 이러한 CVD 장치의 예는 앞서 참조로 언급된 미합중국특허 제 5,000,113 호에 기술된다.
모터(도시되지 않음)는 처리 위치(14) 및 하부, 웨이퍼 로딩 위치 사이에서 거셉터(12)를 상승 및 하강시킨다. 모터 및 광학 센서는 트로틀 밸브(32) 및 서셉터(12)같은 이동 가능한 기계적 어셈블리의 위치를 이동시키고 결정하기 위하여 사용된다. 히터, 모터, 공급 라인(18)에 접속된 밸브 또는 흐름 제어기(20), 가스 유도 시스템, 트로틀 밸브(32), RF 파워 서플라이(25), 및 램프 자기 구동기는 단지 일부만이 도시된 제어 라인(36)상의 시스템 제어기(34)에 의해 모두 제어된다.
시스템 제어기(34)는 CVD 장치의 모든 작동을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행시키고, 상기 소프트웨어는 메모리(38)같은 컴퓨터 판독 매체에 저장된 컴퓨터 프로그램이다. 바람직하게, 메모리(38)는 하드 디스크 드라이브이지만, 메모리(38)는 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 시간, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치, 및 다른 특정 처리 파라미터를 지시하는 명령 세트를 포함한다. 물론, 예를들어, 플로피 디스크 또는 다른 적당한 드라이브를 포함하는 다른 메모리 장치상에 저장된 바와같은 다른 컴퓨터 프로그램이 프로세서(34)를 작동하기 위하여 사용될 수 있다.
바람직한 실시예에서, 시스템 제어기는 하드 디스크 드라이브(메모리 38), 플로피 디스크 드라이브 및 카드 랙(rack)을 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC) 프로세서(37), 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스테퍼 모터 제어기 보드를 포함한다. CVD 시스템(10)의 다양한 부분은 보드, 카드 케이지(cage), 및 접속기 크기 및 형태를 한정하는 버사 모듈라 유러펀(VME)(Versa Modular Europeans) 표준에 따른다. VME 표준은 16 비트 데이터 버스 및 24 비트 어드레스 버스를 가지는 버스 구조를 형성한다.
사용자 및 프로세서(34) 사이의 인터페이스는 CRT 모니터(50a) 및 라이트펜(50b)을 통하여 하나 이상의 챔버를 포함할 수 있는 다중 챔버 시스템에서 시스템 모터 및 CVD 시스템(10)의 간략화된 도면인 도 1B에 도시된다. 바람직한 실시예에서 두 개의 모니터(50a)는 사용되고, 하나는 작동기를 위하여 세척실 벽에 설치되고 다른 하나는 서비스 기술자를 위하여 상기 벽 뒤에 설치된다. 양쪽 모니터(50a)는 동일 정보를 동시에 디스플레이하지만, 단지 하나의 라이트펜(50b)만이 인에이블된다. 라이트펜(50b)은 펜의 끝에 광 감지기로 CRT 디스플레이에 의해 방출된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 작동자는 디스플레이의 목표된 영역을 접촉하고 펜(50b)상의 버튼을 누른다. 접촉된 영역은 그것의 하이라이트 색을 변화시키거나, 새로운 메뉴 또는 스크린이 디스플레이되어, 라이트펜 및 디스플레이 스크린 사이의 통신을 일치시킨다. 물론, 키보드, 마우스, 또는 다른 포인팅 또는 통신 장치같은 다른 장치가 사용자가 프로세서(34)와 통신하도록 하는 라이트펜(50b) 대신 또는 추가로 사용될 수 있다.
막을 증착하기 위한 방법은 프로세서(34)에 의해 실행된 컴퓨터 프로그램 결과를 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 신뢰할 수 있는 종래의 컴퓨터 프로그램 언어로 기입될 수 있다. 적당한 프로그램 코드는 종래의 텍스트 에디터를 사용하여 단일 파일, 또는 다중 파일로 기록되고, 컴퓨터의 메모리 시스템같은 컴퓨터 사용가능 매체에 저장 또는 수록된다. 만약 기록된 코드 텍스트가 높은 레벨의 언어이면, 코드는 컴파일되고, 결과 컴파일러 코드는 프리컴파이된 윈도우 라이브러리 루틴의 목적 코드와 링크된다. 링크된 컴파일 목적 코드를 실행하기 위하여, 시스템 사용자는 목적 코드를 호출하고, 컴퓨터 새스템이 메모리의 코드를 로딩하도록하고, 상기로부터 CPU는 코드를 판독 및 실행하여 프로그램에서 식별된 임무를 수행한다.
도 1C는 특정 실시예에 따라, 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 계층적 제어 구조의 블록도를 도시한다. 사용자는 라이트펜 인터페이스를 사용함으로써 CRT 모니터상에 디스플레이된 메뉴 또는 스크린에 응답하여 프로세스 세트 수 및 프로세스 챔버 수를 프로세스 선택기 서브루틴(73)에 기록한다. 프로세스 세트는 특정 프로세스를 수행하기 위하여 필요한 프로세스 파라미터의 소정 세트이고, 소정 세트 수에 의해 식별된다. 프로세스 선택기 서브루틴(73)은 (ⅰ) 목표된 프로세스 챔버, 및 목표된 프로세스를 수행하기 위하여 프로세스 챔버를 동작시키기에 필요한 프로세스 파라미터의 목표된 세트를 식별한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터는 예를들어, 프로세스 가스 구성 및 흐름 비율, 온도, 압력같은 프로세스 조건, RF 전력 레벨 및 저주파 RF 주파수, 냉각 가스 압력, 및 챔버 벽 온도같은 플라즈마 조건에 관한 것이고, 방법 형태로 사용자에게 제공된다. 처리 방법에 의해 지정된 파라미터는 라이트펜/CRT 모니터 인터페이스를 사용하여 기록된다.
공정을 모니터링하기 위한 신호는 시스템 제어기의 아날로그 입력 및 디지털 입력 보드에 의해 제공되고 공정을 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 및 디지털 출력 보드상의 출력이다.
처리 시퀀서 서브루틴(75)은 식별된 공기 챔버 및 프로세서 선택기 서브루틴(73)으로부터의 공정 파라미터 세트를 수용하고, 다양한 프로세스 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다수의 사용자는 프로세스 세트 수 및 프로세스 챔버 수를 기록하거나, 사용자는 다수의 프로세스 세트 수 및 프로세스 챔버수를 기록하여, 시퀀서 서브루틴(75)은 목표된 시퀀스에서 선택된 프로세스를 계획하도록 동작한다. 바람직하게 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용되는지를 결정하기 위하여 프로세스 챔버의 동작을 감시하고, (ⅱ) 사용되는 챔버내에서 무슨 프로세스가 수행되는가를 결정하고, 및 (ⅲ) 프로세스 챔버의 유용성 및 수행될 프로세스의 형태를 기초로 목표된 프로세스를 실행하는 단계를 수행하기 위하여 프로그램 코드를 포함한다. 종래 프로세스 챔버를 감시하기 위한 방법은 폴링(polling) 처럼 사용될 수 있다. 프로세스가 실행되는 것을 계획할 때, 시퀀서 서브루틴(75)은 선택된 프로세스에 대한 목표된 프로세스 조건과 비례하여 사용된 프로세스 챔버의 현재 조건, 또는 각각의 특정 사용자 기록 요구의 "시기", 또는 시스템 프로그래머가 우선 순위 계획을 결정하기 위한 어떤 다른 관련 인자를 고려하여 설계된다.
일단 시퀀서 처리 챔버 및 처리 세트 조합이 다음에 실행될 것을 서브루틴(75)이 결정하면, 시퀀서 서브루틴(75)은 시퀀서 서브루틴(75)에 의해 결정된 프로세스 세트에 따라 프로세스 챔버(15)의 다수 프로세스 임무를 제어하는 챔버 관리 서브루틴(77a-c)으로 특정 프로세스 세트 파라미터를 통과시킴으로써 프로세스 세트 실행을 유발한다. 예를들어, 챔버 관리 서브루틴(77a)은 프로세스 챔버(15)의 스퍼터링 및 CVD 프로세스 동작을 제어하기 위한 프로그램 코드를 포함한다. 챔버 관리 서브루틴(77)은 선택된 프로세스 세트를 수행하기에 필요한 챔버 구성요소의 동작을 제어하는 다양한 챔버 구성요소 서브루틴의 실행을 제어한다. 챔버 구성요소 서브루틴의 실시예는 기판 배치 서브루틴(80), 프로세스 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87) 및 플라즈마 제어 서브루틴(90)이다. 당업자는 처리 챔버(15)에서 무슨 프로세스가 수행되는가에 따라 다른 챔버 제어 서브루틴이 포함된다는 것을 쉽게 인식한다. 동작시, 챔버 관리 서브루틴(77a)은 실행되는 특정 프로세스에 따른 프로세스 성분 서브루틴을 선택적으로 계획하거나 호출한다. 챔버 관리 서브루틴(77a)은 처리 챔버(15) 및 프로세스 세트가 다음에 실행될 것을 시퀀서 서브루틴(75)이 어떻게 계획하는가와 유사한 프로세스 성분 서브루틴을 계획한다. 통상적으로, 챔버 관리 서브루틴(77a)은 다양한 챔버 구성요소를 감시하는 단계, 실행될 프로세스 세트에 대한 프로세서 파라미터를 기초로 구성요소가 동작되는 것을 결정하는 단계, 및 상기 모니터링 및 결정 단계에 응답하여 챔버 구성요소 서브루틴의 실행을 유발하는 단계를 포함한다.
특정 챔버 구성요소 서브루틴의 동작은 도 1C를 참조하여 기술된다. 기판 위치결정 서브루틴(80)은 기판 및 가스 분배 분기관(11) 사이의 간격을 제어하기 위하여 기판을 서셉터(12)에 로딩하고, 선택적으로 챔버(15)내의 목표된 높이로 기판을 들어올리기 위하여 사용된 챔버 구성요소를 제어하기 위한 프로그램 코드를 포함한다. 기판이 프로세스 챔버(15)에 로딩될 때, 서셉터(12)는 기판을 수용하기 위하여 낮아지고, 그후, 서셉터(12)는 CVD 처리동안 가스 분배 분기관으로부터 제 1 거리 또는 간격으로 기판을 유지하기 위하여 챔버의 목표 높이로 상승된다. 동작시, 기판 배치 서브루틴(80)은 챔버 관리 서브루틴(77a)으로부터 전달된 지지 높이에 관련된 프로세스 세트 파라미터에 응답하여 서셉터의 이동을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스 조성 및 흐름 비율을 제어하기 위한 프로그램을 가진다. 처리 가스 제어 서브루틴(83)은 안전 셧-오프 밸브의 개방/밀폐 위치를 제어하고, 또한 중량 흐름 제어기로 목표된 가스 흐름 비율을 얻기 위하여 상승/하강 시킨다. 프로세스 가스 제어 서브루틴(83)은 모든 챔버 구성요소 서브루틴이 존재할 때 챔버 관리 서브루틴(77a)에 의해 호출되고, 관련된 가스 흐름 비율에 관련된 챔버 관리 서브루틴 프로세스 파라미터로부터 수신한다. 통상적으로, 처리 가스 제어 서브 루틴(83)은 가스 공급 라인을 개방함으로써, 그리고 반복적으로 (ⅰ) 필요한 중량 흐름 제어기를 판독하고, (ⅱ) 챔버 관리 서브루틴(77a)으로부터 수신된 목표된 흐름 비율에 대한 판독치를 비교하고, (ⅲ) 필요한만큼 가스 공급 라인의 흐름 비율을 비교함으로써 동작한다. 게다가, 처리 가스 제어 서브루틴(83)은 불안정한 비율에 대한 가스 흐름 비율을 모니터링하는 단계, 및 불안정한 상태가 검출될 때 안정한 셧-오프 밸브를 작동시키는 단계를 포함한다.
몇몇의 공정에서, 아르곤과 같은 불활성 가스는 반응 처리 가스가 챔버속으로 유입되기 전에 챔버의 압력을 안정화시키기 위하여 챔버(15)에 흐른다. 이들 처리를 위하여, 처리 가스 제어 서브루틴(83)은 챔버의 압력을 안정화시키기에 필요한 시간동안 불활성 가스를 챔버(15)에 흘리기 위한 단계를 포함하도록 프로그램된다. 부가적으로, 처리 가스가 선구 액체, 예를들어 테트라에틸로소시레인("TEOS")로부터 증기화될 때, 처리 가스 제어 서브루틴(83)은 버블러 어셈블리의 선구 액체를 통하여 헬륨같은 유도 가스를 버블링하거나 액체 주입 시스템에 헬륨같은 캐리어 가스를 도입하기 위한 단계를 포함하도록 기입된다. 버블러가 이런 종류의 처리를 위하여 사용될 때, 처리 가스 제어 서브루틴(83)은 유도 가스의 흐름, 버블러 압력, 및 목표된 가스 흐름 비율을 얻기 위한 버블러 온도를 조절한다. 상기된 바와같이, 목표된 처리 가스 흐름 비율은 처리 파라미터같은 처리 가스 제어 서브루틴(83)에 전달된다. 게다가, 처리 가스 제어 서브루틴(83)은 필요한 유도 가스 흐름 비율, 버블러 압력, 및 주어진 처리 가스 흐름 비율을 위하여 필요한 값을 포함하는 저장된 테이블을 액세스함으로써 목표된 처리 가스 흐름 비율에 대한 버블러 온도를 얻는 단계를 포함한다. 일단 필요한 값이 얻어지면, 유도 가스 흐름 비율, 버블러 압력 및 버블러 온도는 감시되고 필요한 값과 비교되고 따라서 조절된다.
압력 제어 서브루틴(85)은 챔버의 배출 시스템(115)에서 트로틀 밸브의 개구부 크기를 조절함으로써 챔버(15)에서 압력을 제어하기 위한 프로그램 코드를 포함한다. 트로틀 밸브의 크기는 총 처리 가스 흐름, 처리 챔버 크기, 및 배출 시스템(115)에 대한 펌핑 설정 압력에 관련하여 목표된 레벨로 챔버 압력을 제어하도도록 설정된다. 압력 제어 서브루틴(85)이 호출될때, 목표된, 또는 목표, 압력 레벨은 챔버 관리 서브루틴(77a)으로부터의 파라미터로서 수신된다. 압력 제어 서브루틴은 챔버에 접속된 하나의 이상의 종래 압력 나노미터를 판독함으로써 챔버(15)의 압력을 측정하고, 측정값과 목표 압력을 비교하고, 목표 압력에 대응하는 저장된 압력 테이블로부터 PID(비율, 적분, 및 미분)를 얻고, 압력 테이블로부터 얻어진 PID에 따라 트로틀 밸브를 조절하기 위하여 동작한다. 선택적으로, 압력 제어 서브루틴(85)은 목표된 압력으로 챔버(15)를 조절하기 위하여 특정 개구 크기로 트로틀 밸브를 개방 또는 밀폐하도록 기록될 수 있다.
히터 제어 서브루틴(87)은 기판을 가열하기 위하여 사용된 램프 모듈의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(87)은 챔버 관리 서브루틴(77a)에 의해 호출되고 목표, 또는 설정점, 온도 파라미터를 수신한다. 히터 제어 서브루틴(87)은 서셉터(12)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 측정 온도를 설정 온도와 비교하고, 설정 온도를 얻기 위하여 램프 모듈(26)에 인가된 전류를 증가 또는 감소시킨다. 온도는 저장된 전환 테이블의 대응 온도를 탐색하거나, 제 4 순서 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 광 램프가 서셉터(12)를 가열하기 위하여 사용될 때, 히터 제어 서브루틴(87)은 램프에 인가된 전류의 상승/하강 기울기를 점차적으로 제어한다. 점차적인 상승/하강 기울기는 램프의 수명 및 신뢰성을 증가시킨다. 따라서, 조립된 이중 안전장치 모드는 프로세스 안전성 컴플라이언스(compliance)를 검출하기 위하여 포함되고, 만약 처리 챔버(15)가 적당히 세팅되지 않으면 램프 모듈(26)의 동작을 막는다.
플라즈마 제어 서브루틴(90)은 챔버(15)의 처리 전극에 인가된 저주파 및 고주파 RF 전력 레벨을 세팅하고, 사용된 저주파 RF 주파수를 세팅하기 위한 프로그램 코드를 포함한다. 상기된 챔버 구성요소 서브루틴에 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 관리 서브루틴(77a)에 의해 호출된다.
상기 반응기 설명은 주로 도시하기 위한 것이고, 전자 사이클로트론 공진(ECR) 플라즈마 CVD 장치, 유도 결합 RF 고밀도 플라즈마 CVD 장치, 또는 이와 같은 종류가 사용될 수 있다. 부가적으로, 서셉터 설계, 히터 설계, RF 전력 주파수, RF 전력 접속부의 위치 및 다른 것같은 상기된 시스템의 변형은 가능하다. 예를들어, 웨이퍼는 저항 가열 판에 의해 지지 및 가열된다. 본 발명의 상기 층을 형성하기 위한 층 및 방법은 어떤 특정 장치 또는 어떤 특정 플라즈마 여기 방법에 제한되지 않는다.
Ⅱ. 본 발명의 샌드위치층 막
도 3은 본 발명의 일실시예에 따른 이산화 실리콘 갭 충전 샌드위치층을 도시한다. 금속 라인(60)은 오존 및 플루오르 함유 화합물, 바람직하게 SiF4또는 C2F6와 결합시 TEOS를 사용하여 PECVD 처리에서 생성된 층(62)에 의해 커버된다. 이들 특정 화합물은 추후에 SACVD 필름의 습기와 반응할 수 있는 자유 플루오르를 덜 생성하기 때문에 바람직하다. SACVD 필름(64)은 USG층을 제공하기 위하여 도핑재없이 TEOS 및 오존을 가지는 비플라즈마 처리를 사용하여 목표된 갭 충전 특성을 제공하기 위하여 형성된다. 이것은 보다 빠르게 성장할 수 있는 다른 PECVD층(66)이 뒤따르고 절연층의 잔류 두께를 제공하기 위하여 사용된다. 층(66)은 층(62)과 유사한 플루오르 도핑된 이산화 실리콘 막일수있지만, 바람직하게 TEOS 및 산소의 플라즈마로부터 형성된 USG층이다.
도 3에 도시된 바와같이 갭의 폭(G)에서 총 캐패시턴스는 다른 층의 두께 및 영역과 대응 유전상수의 함수이다. 특히, C = KεA/T이고 여기서 C는 캐패시턴스이고, K = 유전 상수, ε= 투과율, A = 영역, 및 T = 두께(이 방정식은 프린징(fringing) 필드같은 제 2 순서 효과를 고려하지 않는다)이다. 적당한 두께의 층을 선택함으로써(처리 시간 및 가스 흐름에 의해 결정), 원하는 유전 상수를 달성하고 원하는 압축 특성을 제공하기 위하여 충분히 두꺼운 제 1층을 유지할수 있다.
제 1층(62)은 4.0 이하, 바람직하게 대략적으로 3.5의 유전 상수를 가지는 장벽층을 제공한다. 추후에, SACVD 처리는 상기된 바와같은 갭 충전 층을 형성하기 위하여 TEOS가 사용된다. 제 2층(64)은 4.0 이상, 바람직하게 대략적으로 4.1의 유전 상수를 가진다. 적당하게 각 층의 두께를 선택함으로써, 두 개의 다른 층의 유전 상수의 결합인 값으로 유전 상수를 조절할 수 있어서, 3.5 및 4.0 사이, 바람직하게 약 3.6 및 3.7 사이로 형성한다.
바람직한 실시예에서, 갭 크기 G=0.3 마이크로미터이고, 제 1층(62)의 두께는 D = 700Å이고, 갭의 두 벽상의 두 개의 층에 대해 총 1400Å의 두께가 주어진다. SACVD층(64)의 3000Å 갭을 가로지르는 잔류 두께는 바람직하게 X = 1600ÅDLEK. 이런 결합은 대략 K = 3.7의 유전 상수를 생성할 것이다.
본 발명의 일실시예에 따라 도 3의 제 1층(62)을 생성하기 위한 실시예는 아래와 같다;
O2 700 sccm
He 700 sccm
TEOS 915 mgm
SiF4 725 sccm
압력 5 토르
간격 250 mils
온도 400℃
고주파 RF 13.56 MHz @ 110W
저주파 RF 350 KHz @ 340W
상기 공정은 대략 5000Å/분의 증착 비율을 제공한다. 상기 가스 도입 비율은 어플라이드 머티어리얼스에 의해 제조된 램프 가열 DCVD 챔버를 바탕으로 한다. 가스가 다른 실시예에 사용되는 실제 비율은 만약 다른 체적 및/또는 설계의 챔버가 사용되면 변할 것이다.
본 발명은 특히 높은 종횡비를 가지는 작은 지리구조 갭을 충전하기에 효과적이다(예를들어, 1.5:1에서 대략적으로 2:1 또는 그 이상의 종횡비). 본 발명은 다른 종횡비의 갭을 충전하기 위하여 사용된다.
당업자에 의해 이해될 수 있는 바와같이, 본 발명은 본 발명의 사상 또는 필수적인 특성을 벗어나지 않고 다른 특정 형태로 사용될 수 있다. 따라서, 상기 기술은 다음 청구범위에서 설명하는 본 발명의 청구범위를 제한하지 않고 도시된다.
본 발명을 사용함으로써 특히 높은 종횡비를 가지는 작은 지리구조 갭을 효과적으로 충전할 수 있다.

Claims (10)

  1. 폭이 좁은 갭을 한정하는 전도성 라인이 있는 반도체 기판상에 선택된 복합 유전 상수를 갖는 복합 유전체 층을 형성하는 방법에 있어서,
    TEOS, 산소 및 플루오르를 함유한 화합물을 포함하는 반응물을 조합하는 플라즈마 강화 화학적 기상 증착(PECVD)공정을 이용하여 상기 기판상에 상기 전도성라인 위로, 약 4.0 이하의 제 1 유전 상수 및 압축력을 생성하고 상기 전도성 라인 사이의 폭이 좁은 갭을 부분적으로 충진시키기에 충분하게 선택된 제 1두께에 의해 특징화 되는 제1층을 형성하는 단계; 및
    TEOS 및 산소와 오존중 하나를 포함하는 반응물을 조합하는 비 플라즈마 향상, 대기중 이하 화학 기상 증착(SACVD) 공정을 이용하여 상기 제 1층위로, 약 4.0 이상의 제 2 유전 상수 및 제 2두께에 으해 특징화 되는 제 2 층을 형성하는 단계를 포함하며,
    선택된 상기 제1두께는 상기 제2두께보다 작고 선택된 상기 제 1두께 및 상기 제2두께는 선택된 유전상수를 갖고, 전도성 라인 사이의 갭을 충진시키는 복합층을 생성하기에 충분한 것을 특징으로 하는 복합 유전체층 형성방법.
  2. 제 1항에 있어서, 약 3.5의 유전 상수를 갖는 상기 제 1층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 복합 유전체층 형성 방법.
  3. 제 1항에 있어서, 상기 방도체 기판에 약 1.5:1 내지 2:1 사이의 종횡비를 갖는 갭 위로 상기 층들을 형성하는 단계를 더 포함하는 것을 특징으로 하는 복합 유전체층 현성 방법.
  4. 제 1항에 있어서, 상기 반도체 기판에서 상기 제 1 및 제 2 층의 상대적 두께의 갭을 생성하는데 요구되는 시간동안 상기 갭의 유전 상수가 약 3.5 및 4.0 사이가 되도록 상기 층들을 증착하는 단계를 더 포함하는 것을 특징으로 하는 복합 유전체층 형성 방법.
  5. 제 1항에 있어서, 상기 갭의 각 벽상에 제 1층을 갖는 갭에서의 결합 두께가 1400 옹스트롬이도록, 약 700 옹스트롬 두께로 상기 제 1층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 복합 유전체층 형성 방법.
  6. 반도체 기판상에 형성된 복합 유전체층에 있어서,
    TEOS, 산소 및 프루오르를 함유한 화합물을 포함하는 반응물을 결합하는 플라즈마 강화 화학 기상 증착(PECVD) 처리에 공정을 이용하여 상기 기판상에 상기 전도성 라인 위로, 약 4.0이하의 제1 유전 상수 및 압축력을 생성하고 상기 전도성 라인 사이의 폭이 좁은 갭을 부분적으로 충진시키시에 충분하게 선택된 제 1 두께에 의해 특징화 되는 제 1층; 및
    TEOS 및 산소와 오존중 하나를 포함하는 반응물을 조합하는 비플라즈마 가와, 대기압 이하 화학적 기상 증착(SACVD) 공정을 이용하여 상기 제 1층위로, 약 4.0 이상의 제 2 유전 상수및 제 2 두께에 의해 특징화 되는 제 2층을 포함하며, 선택된 상기 제 1 두께는 상기 제 2두게보다 작고 선택된 상기 제 1두께 및 상기 제 2 두께는 선택된 유전 상수를 갖고, 전도성 라인 사이의 갭을 충진시키는 복합층을 생성하기에 충분한 것을 특징으로 하는 복합 유전체층.
  7. 제 6항에 있어서, 상기 제 1층의 유전 상수가 약 3.5인 것을 특징으로 하는 복합 유전체층.
  8. 제 6항에 있어서, 상기 반도체 기판상에 종횡비가 2:1인 갭 위로 상기 층들이 형성되는 것을 특징으로 하는 복합 유전체층.
  9. 제 6항에 있어서, 상기 반도체 기판에서 갭의 상기 제1 및 제1층의 상대적 두께가 상기 갭에서 3.5내지 4.0사이의 전체 유전 상수를 제공하는 것을 특징으로 하는 복합 유전체층.
  10. 진공 챔버를 형성하기 위한 하우징;
    상기 하우징내에 배치되고 기판을 보유하기 위한 기판 홀더;
    히터;
    상기 기판상에 하나의 층을 증착하기 위하여 상기 진공 챔버속으로 처리 가스를 유입하기 위한 가스 유도 시스템;
    상기 챔버내의 선택된 압력을 세팅 및 유지하기 위한 진공 시스템;
    상기 처리 가스로부터 플라즈마를 형성하기 위하여 구성된 플라즈마 생성 시스템;
    상기 가스 유도 시스템 및 상기 플라즈마를 형성하기 위하여 구성된 플라즈마 발생 시스템;
    상기 가스 유도 시스템 및 상기 플라즈마 발생 시스템을 제어하기 위한 제어기; 및
    상기 기판 처리 시스템의 동작을 지시하기 위하여 사용된 컴퓨터 판독가능 프로그램을 가지는 컴퓨터 판독가능 매체를 포함하는 상기 제어기에 결합된 메모리를 포함하고, 상기 컴퓨터 판독 가능 프로그램은,
    제 1시간동안 TEOS, 산소 및 플루오르 함유 화합물을 포함하는 제 1 가스를 상기 챔버에 유입하기 위하여 상기 가스 유도 시스템을 제어하기 위한 제 1세트의 명령;
    상기 제 1시간동안 플라즈마 향상 화학 기상 증착(PECVD) 처리시 약 4.0 이하의 유전 상수를 특징으로 하는 제 1층을 증착하기 위하여 상기 제 1처리 가스로부터 플라즈마를 형성하도록 상기 플라즈마 발생 시스템을 제어하기 위한 제 2세트의 명령;
    상기 제 1시간후 제 2시간동안 TEOS 및 산소와 오존중 하나를 포함하는 제 2처리 가스를 유입하기 위하여 상기 가스 유도 시스템을 제어하기 위한 제 3세트의 명령;
    상기 제 2시간동안 약 20-700 토르 사이로 상기 챔버내의 압력을 설정 및 유지하기 위하여 상기 진공 시스템을 제어하기 위한 제 4세트의 명령; 및
    대기압 이하의 화학 기상 증착(SACVD) 처리시 제 1층상에 약 4.0 이상의 유전 상수를 특징으로 하는 제 2층을 증착하기 위하여 상기 제 2시간동안 약 350-500℃ 사이의 온도로 상기 챔버를 가열하기 위하여 상기 히터를 제어하기 위한 제 5세트의 명령을 포함하는 것을 특징으로 하는 기판 처리 시스템.
KR1019970036803A 1996-08-02 1997-08-01 낮은유전상수이산화실리콘샌드위치층및형성방법 KR100298486B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/691,990 US5807785A (en) 1996-08-02 1996-08-02 Low dielectric constant silicon dioxide sandwich layer
US8/691,990 1996-08-02
US08/691,990 1996-08-02

Publications (2)

Publication Number Publication Date
KR19980018280A KR19980018280A (ko) 1998-06-05
KR100298486B1 true KR100298486B1 (ko) 2001-10-24

Family

ID=24778820

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970036803A KR100298486B1 (ko) 1996-08-02 1997-08-01 낮은유전상수이산화실리콘샌드위치층및형성방법

Country Status (3)

Country Link
US (1) US5807785A (ko)
KR (1) KR100298486B1 (ko)
TW (1) TW343375B (ko)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08167601A (ja) * 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6252303B1 (en) 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6274933B1 (en) 1999-01-26 2001-08-14 Agere Systems Guardian Corp. Integrated circuit device having a planar interlevel dielectric layer
US20020001876A1 (en) * 1999-01-26 2002-01-03 Mahjoub Ali Abdelgadir Method of making an integrated circuit device having a planar interlevel dielectric layer
US6191046B1 (en) 1999-03-11 2001-02-20 Advanced Micro Devices, Inc. Deposition of an oxide layer to facilitate photoresist rework on polygate layer
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6291331B1 (en) * 1999-10-04 2001-09-18 Taiwan Semiconductor Manufacturing Company Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6479404B1 (en) * 2000-08-17 2002-11-12 Agere Systems Inc. Process for fabricating a semiconductor device having a metal oxide or a metal silicate gate dielectric layer
US6376360B1 (en) 2000-08-18 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Effective retardation of fluorine radical attack on metal lines via use of silicon rich oxide spacers
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050106873A1 (en) 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
JP2006004968A (ja) * 2004-06-15 2006-01-05 Renesas Technology Corp 半導体製造装置、半導体装置の製造方法およびガス中金属の除去方法
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
DE102004031744A1 (de) * 2004-06-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Eine Technik zur Herstellung einer dielektrischen Zwischenschicht über einer Struktur mit eng beabstandeten Leitungen
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060017166A1 (en) * 2004-07-20 2006-01-26 Po-Hsiung Leu Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100791677B1 (ko) 2006-10-27 2008-01-03 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 고밀도 플라즈마 화학기상증착장치
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20120015113A1 (en) * 2010-07-13 2012-01-19 Applied Materials, Inc. Methods for forming low stress dielectric films
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
FR2987937B1 (fr) * 2012-03-12 2014-03-28 Altatech Semiconductor Procede de realisation de plaquettes semi-conductrices
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10985059B2 (en) * 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
JP7193729B2 (ja) * 2019-03-22 2022-12-21 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940012488A (ko) * 1992-11-24 1994-06-23 나카무라 타메아키 반도체장치 및 그 제조방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4300989A (en) * 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61276977A (ja) * 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
DE69130947T2 (de) * 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5407529A (en) * 1992-03-04 1995-04-18 Nec Corporation Method for manufacturing semiconductor device
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5344797A (en) * 1992-10-30 1994-09-06 At&T Bell Laboratories Method of forming interlevel dielectric for integrated circuits
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940012488A (ko) * 1992-11-24 1994-06-23 나카무라 타메아키 반도체장치 및 그 제조방법

Also Published As

Publication number Publication date
US5807785A (en) 1998-09-15
TW343375B (en) 1998-10-21
KR19980018280A (ko) 1998-06-05

Similar Documents

Publication Publication Date Title
KR100298486B1 (ko) 낮은유전상수이산화실리콘샌드위치층및형성방법
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
US6258735B1 (en) Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6068729A (en) Two step process for cleaning a substrate processing chamber
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
US6223685B1 (en) Film to tie up loose fluorine in the chamber after a clean process
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US6358573B1 (en) Mixed frequency CVD process
JP4790170B2 (ja) Hdp−cvdを用いて高いアスペクト比のギャップ充填を達成するためのガス化学サイクリング
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
WO1999062108A2 (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
KR100297421B1 (ko) 이산화규소막의불소화에의한응력제어방법및기판처리시스템
KR100569807B1 (ko) 기판의 증착막에서 플루오르를 통해 격리성질을 제어하는 반도체장치의 제어 방법 및 시스템
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
JPH10144683A (ja) Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080407

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee