TW202201131A - 半導體裝置的製造方法及防止含金屬光阻釋氣的方法 - Google Patents

半導體裝置的製造方法及防止含金屬光阻釋氣的方法 Download PDF

Info

Publication number
TW202201131A
TW202201131A TW110113656A TW110113656A TW202201131A TW 202201131 A TW202201131 A TW 202201131A TW 110113656 A TW110113656 A TW 110113656A TW 110113656 A TW110113656 A TW 110113656A TW 202201131 A TW202201131 A TW 202201131A
Authority
TW
Taiwan
Prior art keywords
photoresist layer
photoresist
substrate
layer
dehydration
Prior art date
Application number
TW110113656A
Other languages
English (en)
Other versions
TWI790594B (zh
Inventor
陳彥儒
劉之誠
郭怡辰
李志鴻
李資良
翁明暉
鄭雅如
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201131A publication Critical patent/TW202201131A/zh
Application granted granted Critical
Publication of TWI790594B publication Critical patent/TWI790594B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

一種半導體裝置的製造方法包含形成光阻層在基材上,並形成脫水膜在光阻層上。選擇性地暴露光阻層至光化輻射,以在光阻層中形成曝光部分及未曝光部分。顯影光阻層,以移除光阻層之未曝光部分以及在光阻層之未曝光部分上的脫水膜之第一部分。在一實施例中,此方法包含藉由使用光阻層之曝光部分做為光罩來蝕刻基材。

Description

光阻層釋氣防止
由於消費性裝置響應消費者需求而變得愈來愈小,這些裝置的個別元件也有必要縮小尺寸。構成例如行動電話、桌上型電腦及類似裝置之主要元件的半導體裝置已被迫變得愈來愈小,相應地,在半導體裝置中的個別裝置(例如:電晶體、電阻器、電容器等) 亦被迫使縮小尺寸。
用於半導體裝置的製程中可行的技術之一是使用微影材料(photolithographic materials)。此種材料係施用於將被圖案化的層表面,接著暴露至能量,以使其被圖案化。此曝光可修飾光敏材料曝光區域的化學及物理性質。隨著光敏材料未曝光的區域缺乏修飾,此修飾可被用以移除一個區域,而不移除其他區域。
然而,隨著個別裝置之尺寸已減少,微影製程的製程窗口變得愈來愈緊密。如此,為了保持縮小裝置的能力,微影製程區域的進步是必要的,且需要進一步的改進,以滿足所要的設計標準,以維持元件漸縮的進展。
以下揭露提供許多不同實施例或例示,以實施發明的不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,元件的尺寸並不限於所揭露之範圍或數值,而是可取決於製程條件及/或裝置所要的特性。再者,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。為了簡化及清楚,各種特徵可以不同尺度任意地繪示。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。除此之外,用語「由…所製成(made of)」可表示「包含(comprising)」或「由…所組成 (consisting of)」
當半導體產業為了追求較高的元件密度、較高效能及較低成本而進展到奈米科技製程世代,減少半導體特徵尺寸已成為挑戰。極紫外光微影(Extreme ultraviolet lithography,EUVL)已進展至在半導體晶圓上形成較小的半導體元件特徵尺寸及增加元件密度。為了優化極紫外光微影,所欲是增加晶圓的曝光通量。晶圓的曝光通量可透過增加曝光能量或增加光阻的感光速度(靈敏度)。
含金屬的光阻係用於極紫外光微影,因為金屬對極紫外光輻射具有高吸收容量。然而,含金屬的光阻吸收周圍的濕氣及氧氣,其會降低圖案解析度。濕氣及氧氣的吸收會引發光阻層內的交聯反應,因此降低光阻內未曝光區域對光阻顯影劑的溶解度。除此之外,在輻射曝光及顯影操作之前,光阻層內的揮發性前驅物會釋氣,其會造成光阻層品質隨時間變化,且可能對半導體元件製程腔室、操作設備及其他半導體晶圓造成汙染。光阻層的濕氣及氧氣吸收及光阻釋氣負向地影響微影效能且增加缺陷。
為了防止濕氣及氧氣吸收及光阻釋氣,本揭露的實施例處理光阻層的表面,以形成脫水膜(或阻障膜)在光阻層上。脫水膜或阻障膜形成阻礙,防止揮發性物質自光阻層釋氣,且避免周圍水氣及氧氣與光阻層反應。
圖1係繪示根據本揭露一實施例之半導體裝置的製程流程圖。在光阻沉積操作S110中,在一些實施例中,塗佈光阻在將被圖案化層或基材10之表面上,以形成光阻層15,如圖2所示。在一些實施例中,光阻係藉由化學氣相沉積(chemical vapor deposition,CVD) 或原子層沉積(atomic layer deposition,ALD)形成含金屬光阻。在一些實施例中,藉由旋轉塗佈法形成含金屬光阻層。
接著,表面處理操作S120係在光阻層15上進行,以形成表面處理層(或脫水膜)20,如圖3所示。根據本揭露一些實施例,表面處理操作S120透過脫水反應將光阻層15轉化成脫水膜20。由於脫水反應,相較於下層的含金屬光阻層15,脫水膜20具有較高的金屬密度。在一些實施例中,表面處理包含熱處理、表面氧化、暴露至溶劑蒸汽、或暴露至紫外光。在一些實施例中,沒有額外的塗佈層形成在脫水膜20上。
圖4A至圖4D係繪示根據本揭露一些實施例的光阻表面處理。如圖4A所示,含金屬光阻層15係形成在半導體基材10上。揮發性含金屬光阻前驅物17可自光阻層釋氣。接著,表面處理19係形成在光阻層15之表面,如圖4B所示。表面處理19造成光阻層15之表面的脫水反應,而形成避免含金屬光阻前驅物17自光阻層15釋氣的脫水膜20。脫水膜20抑制光阻層15中的揮發性含金屬前驅物17,並防止前驅物17汙染半導體裝置製程管線,其包含製程腔體、製程工具、傳送機構、以及其他被製作的半導體晶圓。
在一些實施例中,光阻層15係形成為厚度約5 nm至約50 nm,而在另一些實施例中,厚度為約10 nm至約30 nm。在一些實施例中,脫水膜之厚度範圍為約0.1 nm至約5 nm,而在另一些實施例中,厚度範圍為約0.2 nm至約2 nm。在一些實施例中,脫水膜之厚度相對於所形成之光阻層之原始厚度的比例範圍係1/100至1/10。若脫水膜係厚於所揭露範圍之上限值,其將使在微影圖案化操作之後,移除脫水膜變得困難。再者,在脫水膜之下的光阻層可能變得太薄,使得光阻圖案的解析度有負向影響。除此之外,在輻射曝光操作S130過程中,太厚的脫水膜會阻擋過多的光化輻射,使得光阻層之下部的曝光不足。另一方面,若脫水膜的厚度係小於所揭露範圍的下限值,脫水膜可能不足以防止光阻釋氣及光阻層的水氣及氧氣吸收。
脫水膜可藉由許多不同的製程所形成。在一實施例中,表面處理19係熱處理。在一些實施例中,光阻塗佈基材係放置於加熱爐內,其中加熱元件19a係位於光阻層15之上表面上,如圖4D所示。在一些實施例中,加熱元件係紅外線燈(infrared heating lamp),其係位於光阻層15之上表面上。光阻層15之上表面係在約40°C及約150°C之間的溫度下被加熱1分鐘至約10分鐘。若溫度係在所揭露範圍之上限值以上或加熱的持續時間係比所揭露範圍之上限值更長,脫水膜20可能太厚。如上所述,若脫水膜20太厚,光阻圖案解析度受損害,且會變得不易移除脫水膜。再者,若溫度太高,光阻膜可能分解。另一方面,若光阻層15在溫度低於所揭露範圍或加熱的持續時間係比所揭露範圍更短,脫水膜20可能太薄。如上所述,若脫水膜20太薄,脫水膜可能不足以防止光阻釋氣及光阻層的水氣及氧氣吸收。在一些實施例中,在基材或放置基材的基材檯之下沒有提供加熱元件。在一些實施例中,基材或基材檯係被冷卻以維持基材或晶圓在低於光阻層表面的溫度。在一些實施例中,基材或晶圓係保持在約20°C至約30°C的溫度。
在另一些實施例中,表面處理19係氧化處理。在一些實施例中,光阻層15係暴露至氧化劑,例如臭氧(O3 ),以氧化光阻層15之表面,以形成脫水膜20。在一些實施例中,臭氧係在約65°C至約100°C的溫度範圍下施加。在一些實施例中,光阻層15係在約1 mTorr至約10 Torr的壓力下暴露至臭氧約5秒至約30秒。若溫度、臭氧壓力、或臭氧暴露持續時間係在所揭露範圍以上,脫水膜20可能太厚。如上所述,若脫水膜20太厚,光阻圖案解析度受損害,且會變得不易移除脫水膜。另一方面,若溫度、臭氧壓力、或臭氧暴露持續時間係在所揭露範圍以下,脫水膜20可能太薄。如上所述,若脫水膜20太薄,脫水膜可能不足以防止光阻釋氣及光阻層的水氣及氧氣吸收。在一些實施例中,其他氧化劑(包含二氧化氮及氧自由基)係用以氧化光阻層15。在一些實施例中,自由基係藉由遠端電漿源(remote plasma source)所產生,並導入光阻層15之表面,以形成脫水膜20。
在另一些實施例中,表面處理19係暴露至溶劑蒸汽。在一些實施例中,光阻層15係暴露至溶劑蒸汽,例如過氧化氫、過氧乙酸、醇(例如:甲醇、乙醇、正丙醇、異丙醇、正丁醇、二級丁醇、三級丁醇、1-戊醇、2-戊醇、3-戊醇、異戊醇、2-甲基-1-丁醇、2,2-二甲基-1-丙醇、3-甲基-2-丁醇、或2-甲基-2-丁醇)、多羥基醇(例如:乙二醇或丙三醇)、醚(例如:甲基三級丁基醚、二異丙基醚、乙二醇二甲醚)、苯、甲苯、二甲苯、或酮,以形成脫水膜20。在一些實施例中,溶劑蒸汽係在約65°C至約100°C的溫度範圍下施加。在一些實施例中,光阻層15係在約1 mTorr至約10 Torr的壓力下暴露至臭氧約5秒至約30秒。若溫度、臭氧壓力、或臭氧暴露持續時間係在所揭露範圍以上,脫水膜20可能太厚。如上所述,若脫水膜20太厚,光阻圖案解析度受損害,且會變得不易移除脫水膜。另一方面,若溫度、臭氧壓力、或臭氧暴露持續時間係在所揭露範圍以下,脫水膜20可能太薄。如上所述,若脫水膜20太薄,脫水膜可能不足以防止光阻釋氣及光阻層的水氣及氧氣吸收。在一些實施例中,載流氣體(例如:N2 、H2 或Ar)係用以提供溶劑蒸汽。
在另一實施例中,表面處理19係光阻層15之上表面的全面暴露至紫外光。在一些實施例中,光阻層15係暴露至波長範圍為約200 nm至約400 nm的紫外光,以引發光阻層15之上表面中的交聯反應。曝光劑量實質上係小於光阻層全厚度之交聯所需的曝光劑量。在一些實施例中,相對於光阻層在光微影圖案化操作過程中所受到的曝光劑量,前述曝光劑量範圍係其約1%至約10%。在一些實施例中,曝光劑量範圍係約3.1 eV至約6.2 eV。在一些實施例中,光阻層15係在真空環境中暴露至紫外光約5秒至約30秒,其中真空環境之壓力係約1 mTorr至約10 Torr。若曝光劑量、周圍壓力或紫外光曝光持續時間係在所揭露範圍以上,脫水膜20可能太厚。如上所述,若脫水膜20太厚,光阻圖案解析度受損害,且會變得不易移除脫水膜。另一方面,若曝光劑量、周圍壓力或紫外光曝光持續時間係在所揭露範圍以下,脫水膜20可能太薄。如上所述,若脫水膜20太薄,脫水膜可能不足以防止光阻釋氣及光阻層的水氣及氧氣吸收。在一些實施例中,在表面處理期間,基材所在之上的基材檯係維持在約20°C至約30°C的溫度。
在一些實施例中,許多表面處理(19A、19B、19C)係在光阻層15上進行,以形成脫水膜20,如圖5A至圖5D所示。在一些實施例中,第一表面處理19A係熱處理,如圖5A所示。熱處理參數可為與前述參閱圖4A至圖4C所揭露者相同。在一些實施例中,第二表面處理19B係溶劑蒸汽處理,如圖5B所示。溶劑蒸汽處理參數可為與前述參閱圖4A至圖4C所揭露者相同。然後,在一些實施例中,第三表面處理19C係氧化處理,如圖5C所示。氧化處理參數可為與前述參閱圖4A至圖4C所揭露者相同。如圖5D所示,所得之脫水膜20阻止光阻釋氣17。19A、19B、19C之每一個表面處理的參數係調整以使脫水膜20具有在所要厚度範圍內的厚度,例如介於約0.1 nm及約5 nm之間。雖然表面處理所示之順序為熱處理、溶劑蒸汽處理、及氧化處理,在一些實施例中,表面處理的順序係改變的。舉例而言,在一些實施例中,溶劑蒸汽處理係先進行。在另一些實施例中,氧化處理係先進行。在一些實施例中,亦進行紫外光曝光處理。在一些實施例中,前述處例之二者或更多者係在相同製程腔室中進行。
如圖6A、圖6B及圖6C所示,二個或更多個表面處理(19A、19B)係同時或在以重疊的方式在光阻層15上進行,以形成脫水膜20。光阻層15係形成在基材10上,如圖6A所示。然後,進行二個或更多個表面處理(19A、19B),如圖6B所示,以形成如圖6C所示之脫水膜。二個或更多個表面處理可為依本文所指揭露之處理參數下的熱處理、氧化處理、溶劑蒸汽處理或紫外光處理的任意組合。在一些實施例中,熱處理、氧化處理、及溶劑蒸汽處理的任意組合係在相同腔室中進行,而紫外光處理係在不同腔室中進行。在一些實施例中,熱處理、氧化處理、及溶劑蒸汽處理其中之二者或全部三者係實質上同時進行。在一些實施例中,多個表面處理優化脫水膜20的功能。
在一些實施例中,熱處理、氧化處理、及溶劑蒸汽處理係在與含金屬光阻沉積相同的腔室中進行。熱處理係方便且可有效地進行。氧化處理及溶劑蒸汽處理可快速地進行。紫外光處理可提供跨越光阻層表面一致的交聯輪廓。本文所述之每一種表面處理係被控制以控制脫水膜20的厚度,且係確保脫水膜在跨越光阻層15表面係一致的。特別地,表面處理係控制以防止光阻層15之下部轉化成脫水膜20。
接著,在圖1的輻射曝光操作S130中,光阻層15及脫水膜20係選擇性地暴露至光化輻射45/97(參照圖7A及圖7B)。光阻層15係透過脫水膜20暴露至光化輻射45/97。在一些實施例中,光化輻射45/97實質上並非由脫水膜20所吸收。在一些實施例中,光阻層15係選擇性地或依圖案(patternwise)暴露至紫外光。在一些實施例中,紫外光係深紫外光 (deep ultraviolet radiation,DUV)。在一些實施例中,紫外光輻射係極紫外光 (extreme ultraviolet radiation,EUV)。在一些實施例中,光阻層15係選擇性地或依圖案暴露至電子束。在一些實施例中,光阻層15係對光化輻射45/97具光敏性的光阻層。
根據本揭露的光阻層係吸收光化輻射下經過化學反應的層,與未暴露在光化輻射下之光阻層的部分相比,造成暴露在光化輻射下之光阻層的部分改變在顯影劑中的溶解度。對光化輻射不具光敏性的層未實質經過化學反應,而在一暴露至光化輻射下改變在顯影劑中層的溶解度。
如圖7A所示,在一些實施例中,在照射光阻層15之前,曝光輻射45穿透過光罩30。在一些實施例中,光罩30之圖案被複製在光阻層15中。在一些實施例中,圖案係藉由不透明圖案35形成在光罩基材40上。不透明圖案35可藉由對紫外光不透光的材料所形成,例如鉻,而光罩基材40係由對紫外光可透光的材料所形成,例如熔融石英。
在一些實施例中,選擇性的或依圖案曝光而形成的光阻層15之曝光區域50及未曝光區域52係利用極紫外光微影所進行。在一些實施例中,在極紫外光微影操作中,反射光罩65係用以形成圖案曝光燈,如圖7B所示。反射光罩65包含低熱膨脹玻璃基材70,其上形成Si和Mo的反射多層75。覆蓋層80及吸收層85係形成在反射多層75上。後導電層90係形成在低熱膨脹基材70之背面。極紫外光95係以約6°的入射角指向反射光罩65。極紫外光之部分97係被Si/Mo多層75反射至光阻塗層基材10,而極紫外光之部分一入射至吸收層85即被光罩吸收。在一些實施例中,另外的光學儀器(包括反射鏡)係置於反射光罩65及光阻塗層基材10之間。
在一些實施例中,暴露至輻射係藉由放置光阻塗層基材到光微影工具中來執行。光微影工具包括光罩30/65、光學儀器、提供曝光用輻射45/97的曝光輻射源、及在曝光輻射下支撐及移動基材的可移動檯。
在一些實施例中,在輻射45/97藉由光罩30/65做圖案化之前或之後,光學儀器(未繪示)係用於光微影工具來散射、反射或其他方式控制輻射。在一些實施例中,光學儀器包括一或多個透鏡、反射鏡、濾光片及其組合,以沿著路徑控制輻射45/97。
在一些實施例中,輻射係電磁輻射,例如g-line(波長約436 nm)、i-line(波長約365 nm)、紫外光、遠紫外光、極紫外光、電子束或類似者。在一些實施例中,輻射源係選自由汞蒸汽燈(mercury vapor lamp)、氙燈(xenon lamp)、碳弧燈(carbon arc lamp)、KrF準分子雷射光(波長248 nm)、ArF準分子雷射光(波長193 nm)、F2 準分子雷射光(波長157 nm)或CO2 激發Sn電漿(極紫外光,波長13.5 nm)所組成的一族群。
電磁輻射量可以通量(fluence)或劑量(dose)來表示,其係由經曝光時間之總輻射通量來獲得。在一些實施例中,合適的輻射通量範圍係約1 mJ/cm2 至約150 mJ/cm2 ,在另一些實施例中,係約2 mJ/cm2 至約100 mJ/cm2 ,且在另一些實施例中,係約3 mJ/cm2 至約50 mJ/cm2 。本領域中具有通常知識者應理解在上述明確範圍內之其他範圍的輻射通量係包含於且在本揭露範圍內。
在一些實施例中,選擇性的或依圖案的曝光係藉由掃描式電子束進行。電子束微影中,電子束誘發二次電子,以修飾被照射的材料。利用本文所揭露的電子束微影及含金屬光阻可達成高解析度。電子束可以能量束來表示,且在一些實施例中,合適的能量範圍為約5 V至約200 kV(仟伏特),而在另一些實施例中,係約7.5 V至約100 kV。在一些實施例中,30 kV的接近校正光束的劑量範圍為約0.1 μC/cm2 至約5 μC/cm2 ,在另一些實施例中,係約0.5 μC/cm2 至約1 μC/cm2 ,且在另一些實施例中,係約1 μC/cm2 至約100 μC/cm2 。本領域中具有通常知識者可基於本文所教的來計算其他光束能量的對應劑量,且應理解在上述明確範圍內之其他範圍的電子束性質係包含於且在本揭露範圍內。
在一些實施例中,光阻層15的曝光係利用浸潤式微影技術(immersion lithography technique)。在此技術中,浸潤介質(未繪示)係置於最後的光學儀器及光阻層之間,且曝光輻射45穿透浸潤介質。
光阻層暴露至輻射的區域50經過化學反應,因而改變其對後續顯影操作S150被移除的敏感性。在一些實施例中,光阻層暴露至輻射的部分50經過反應,使得暴露的部分更容易在顯影操作S150中被移除。在另一些實施例中,光阻層暴露至輻射的部分50經過反應,使得暴露的部分抵抗在顯影操作S150的移除。
接著,在後曝光烘烤操作S140中,光阻層15經過加熱或後曝光烘烤(post-exposure bake,PEB)。在一些實施例中,光阻層15係在約50°C至約250°C的溫度下加熱約20秒至約300秒。在一些實施例中,後曝光烘烤係在約100°C至約230°C的溫度範圍內進行,而在另一些實施例中,係在約150°C至約200°C的溫度範圍內。在一些實施例中,後曝光烘烤操作S140促成在光阻層15中的第一化合物或第一前驅物以及第二化合物或第二前驅物在輻射曝光操作S130中暴露至光化輻射而進一步交聯的反應產物。
隨後,選擇性曝光光阻層15係在顯影操作S150中被顯影。在一些實施例中,光阻層15係藉由施加溶劑基顯影劑57而被顯影成選擇性曝光光阻層。如圖8A所示,液相顯影劑57係由分配器62分別提供至光阻層15及脫水膜20。在一些實施例中,光阻之曝光部分50因為暴露至光化輻射或後曝光烘烤而經過交聯反應,而光阻層之未曝光部分52係藉由顯影劑57而被移除,形成在光阻層15內開口55的圖案,以曝光基材10,如圖9A及圖9B所示。
在一些實施例中,光阻顯影劑57包括溶劑及酸或鹼。在一些實施例中,基於光阻顯影劑的總重量,溶劑的濃度係約60 wt.%至約99 wt.%。基於光阻顯影劑的總重量,酸或鹼的濃度係約0.001 wt.%至約20 wt.%。在特定實施例中,基於光阻顯影劑的總重量,顯影劑中酸或鹼的濃度係約0.01 wt.%至約15 wt.%。
在一些實施例中,顯影劑57係利用旋塗製程施加至光阻層15。在旋塗製程中,當光阻塗層基材旋轉時,顯影劑57係由光阻層15以上施加至光阻層15,如圖8A所示。在一些實施例中,顯影劑57係以約5 ml/min及約800 ml/min之間的速率提供,而光阻塗層基材10係以約100 rpm及約2000 rpm之間的速度旋轉。在一些實施例中,顯影劑的溫度係在約10°C及約80°C之間。在一些實施例中,顯影操作持續約30秒至約10分鐘。
在一些實施例中,顯影劑57係有機溶劑。有機溶劑可為任何合適的溶劑。在一些實施例中,溶劑係選自丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)、丙二醇單甲醚(propylene glycol monomethyl ether,PGME)、1-乙氧基-2-丙醇(1-ethoxy-2-propanol,PGEE)、γ-丁內酯(γ-butyrolactone,GBL)、環己酮(cyclohexanone,CHN)、乳酸乙酯(ethyl lactate,EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲乙酮(methyl ethyl ketone)、二甲基甲醯胺(dimethylformamide,DMF)、異丙醇(isopropanol,IPA)、四氫氟喃(tetrahydrofuran,THF)、甲基異丁基甲醇(methyl isobutyl carbinol,MIBC)、乙酸正丁酯(n-butyl acetate,nBA)、2-庚酮(2-heptanone,MAK)、四氫呋喃(tetrahydrofuran,THF)及二氧雜環(dioxane)其中之一者或多者。
旋塗操作係在曝光後用來顯影光阻層15的一種合適的方法,其目的係用以說明,而非意欲限制實施例。再者,可交替使用任何合適的顯影操作,包含浸漬製程(dip process)、攪煉製程(puddle process)、及噴霧法(spray-on method)。所有顯影操作係包含在本實施例的範圍內。
在一些實施例中,乾式顯影劑105係施加至選擇性曝光光阻層15及脫水膜20,如圖8B所示。在一些實施例中,乾式顯影劑105係電漿或化學蒸汽,且乾式顯影操作S150係電漿蝕刻或化學蝕刻操作。乾式顯影利用相關組成物、交聯性的程度及薄膜密度的差異,以選擇性地移除所要的光阻部分。在一些實施例中,乾式顯影製程係利用溫和的電漿(高壓、低能量)或在熱真空腔室中的熱製程,同時流動乾式顯影化學試劑,例如氣相的BCl3 、BF3 或其他路易士酸。在一些實施例中,BCl3 移除未曝光的材料,留下曝光薄膜的圖案,其係藉由電漿蝕刻製程轉移到下層。
在一些實施例中,乾式顯影包含電漿製程,其係包括變壓耦合電漿(transformer coupled plasma,TCP)、感應耦合電漿(inductively coupled plasma,ICP)或電容耦合電漿(capacitively coupled plasma,CCP)。在一些實施例中,電漿製程係在壓力為約5 mTorr至壓力為約20 mTorr的範圍、能量在約250 W至約1000 W、溫度為約0°C至約300°C下,且流速為約100 至約1000 sccm,進行約1至約3000秒。
顯影操作S150提供圖案55至基材10之暴露部分的光阻層中,如圖9A及圖9B所示。在一些實施例中,顯影操作S150移除在光阻層15之曝光區域50及未曝光區域52上的脫水膜20,如圖9B所示。在顯影操作之後,當將被圖案化的光阻層15、50在適當位置時,進行額外的製程。舉例而言,利用乾式或濕式蝕刻的蝕刻操作係在一些實施例中進行,以轉移光阻層15、50的圖案至下層基材10,形成如圖10所示之凹槽55’。基材10具有不同於光阻層15的蝕刻阻抗。在一些實施例中,相較於光阻層15,蝕刻劑對基材10更具選擇性。
在一些實施例中,將被圖案化的光阻層15、50係在一些實施例的蝕刻操作過程中至少部分地被移除。在另一些實施例中,將被圖案化的光阻層15、50係在蝕刻基材10之後藉由選擇性蝕刻被移除,其係利用合適的光阻剝離溶劑,或藉由光阻電漿灰化操作。
在一些實施例中,基材10包含在至少其表面部分上的單晶半導體層。基材10可包含單晶半導體材料,例如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一些實施例中,基材10係絕緣體上覆矽(silicon-on-insulator,SOI)基材的矽層。在特定實施例中,基材10係由晶體Si所製成。
基材10可包含其表面區域、一或多個緩衝層(圖未繪示)。緩衝層可用以由基材至後續形成的源極/汲極區域逐漸改變晶格常數。緩衝層可由磊晶成長單晶半導體材料所形成,其係包含但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaP及InP。在一實施例中,矽鍺(SiGe)緩衝層係磊晶成長在矽基材10上。SiGe緩衝層的鍺濃度可由最底部緩衝層的30原子%增加至最頂部緩衝層的70原子%。
在一些實施例中,基材10包含一或多層的至少一金屬、金屬合金及其具有式MXa 的金屬氮化物/硫化物/氧化物/矽化物,其中M係金屬,而X係N、S、Se、O、Si,且a係約0.4至約2.5。在一些實施例中,基材10包括鈦、鋁、鈷、釕、氮化鈦、氮化鎢、氮化鉭及其組合。
在一些實施例中,基材10包含介電材料,其至少具有矽或具有式MXb 的金屬氧化物或氮化物,其中M係金屬或Si,X係N或O,且b的範圍為約0.4至約2.5。在一些實施例中,基材10包含二氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭及其組合。
光阻層15係光敏層,其係藉由暴露至光化輻射而被圖案化。一般而言,被入射光打到之光阻區域的化學性質根據所用的光阻型式而有某種程度上的變化。光阻層15係正光阻或負光阻。正光阻係表示暴露至輻射(例如:UV光)下的光阻材料會變成可溶於顯影劑中,而未暴露(或較少暴露)的光阻區域係不溶於顯影劑中。另外,負光阻係表示暴露至輻射下的光阻材料會變成不溶於顯影劑中,而未暴露(或較少暴露)的光阻區域係可溶於顯影劑中。暴露至輻射而變得不可溶的負光阻區域可能因藉由暴露至輻射所造成的交聯反應而變得不可溶。
在一些實施例中,光阻層包含高靈敏度的光阻組成物。在一些實施例中,高靈敏度的光阻組成物係對極紫外光(EUV)具高靈敏度。
在一些實施例中,光阻層15係由光阻組成物所製成,包含在氣相中結合的第一化合物或第一前驅物及第二化合物或第二前驅物。第一前驅物或第一化合物係有機金屬,其係如圖11A所示之具有式:Ma Rb Xc ,其中M係Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者;且R係取代或未取代的烷基、烯基或羧基基團。在一些實施例中,M係選自於由Sn、Bi、Sb、In、Te及其組合所組成的一族群。在一些實施例中,R係C3至C6的烷基、烯基或羧基。在一些實施例中,R係選自於由丙基、異丙基、丁基、異丁基、二級丁基、三級丁基、戊基、異戊基、二級戊基、三級戊基、己基、異己基、二級己基、三級己基及其組合所組成的一族群。X係配位基、離子、或其他官能基,其係與第二化合物或第二前驅物有反應性;且在一些實施例中,1≤a≤2,b≥1,c ≥1且b+c≤5。在一些實施例中,烷基、烯基或羧基係被一或多個氟基所取代。在一些實施例中,有機金屬前驅物係二聚體,如圖11A所示,其中每一個單體單元係與胺基連接。每一個單體具有式:Ma Rb Xc ,如上述所定義。
在一些實施例中,R係烷基,例如Cn H2n+1 ,其中n≧3。在一些實施例中,R係被氟化,例如具有式Cn Fx H((2n+1)-x) 。在一些實施例中,R具有至少一個β-氫或β-氟。在一些實施例中,R係選自於由異丙基、正丙基、三級丁基、異丁基、正丁基、二級丁基、正戊基、異戊基、三級戊基、及二級戊基及其組合所組成的一族群。
在一些實施例中,X係已被第二化合物或第二前驅物所取代的任何官能基,以產生M-OH官能基,例如選自於由胺(包含二烷基胺基及單烷基胺基);烷氧基;羧基、鹵基及磺酸基所組成的一族群。在一些實施例中,磺酸基基團係被一或多個胺基基團所取代。在一些實施例中,鹵基係選自於由F、Cl、Br及I所組成之一族群的一者或多者。在一些實施例中,磺酸基基團包含取代或未取代的C1至C3基團。
在一些實施例中,第一有機金屬化合物或第一有機金屬前驅物包含金屬中心M+ ,其具有與金屬中心M+ 連接的配位基L,如圖11B所示。在一些實施例中,金屬中心M+ 係金屬氧化物。在一些實施例中,配位基L包含C3至C12的脂肪族或芳香族。脂肪族或芳香族可為非支鏈或支鏈的環狀,或包含1至9個碳的非環狀的飽和側基,其係包括烷基、烯基及苯基。支鏈基團可進一步以氧或鹵素所取代。在一些實施例中,C3至C12的脂肪族或芳香族包含雜環基。在一些實施例中,C3至C12的脂肪族或芳香族係以醚基或酯基與金屬連接。在一些實施例中,C3至C12的脂肪族或芳香族包含硝基及磺酸基取代基。
在一些實施例中,有機金屬化合物或有機金屬前驅物包含二級己基-三(二甲基胺基)錫、三級己基-三(二甲基胺基)錫、異己基-三(二甲基胺基)錫、正己基-三(二甲基胺基)錫、二級戊基-三(二甲基胺基)錫、三級戊基-三(二甲基胺基)錫、異戊基-三(二甲基胺基)錫、正戊基-三(二甲基胺基)錫、二級丁基-三(二甲基胺基)錫、三級丁基-三(二甲基胺基)錫、異丁基-三(二甲基胺基)錫、正丁基-三(二甲基胺基)錫、二級丁基-三(二甲基胺基)錫、異丙基-三(二甲基胺基)錫、正丙基-三(二甲基胺基)錫,及類似的烷基-三(三級丁氧基)錫化合物,包括二級己基-三(三級丁氧基)錫、三級己基-三(三級丁氧基)錫、異己基-三(三級丁氧基)錫、正己基-三(三級丁氧基)錫、二級戊基-三(三級丁氧基)錫、三級戊基-三(三級丁氧基)錫、異戊基-三(三級丁氧基)錫、正戊基-三(三級丁氧基)錫、三級丁基-三(三級丁氧基)錫、異丁基-三(丁氧基)錫、正丁基-三(丁氧基)錫、二級丁基-三(丁氧基)錫、異丙基-三(二甲胺基)錫、正丙基-三(丁氧基)錫。在一些實施例中,有機金屬前驅物或有機金屬化合物係被氟化。在一些實施例中,有機金屬前驅物或化合物之沸點係低於約200°C。
在一些實施例中,第一化合物或第一前驅物包含一或多個未飽合鍵,其係可與在基材表面或介於中間之下層的官能基(例如羥基)結合,以優化光阻層黏著在基材或下層。
在一些實施例中,第二前驅物或第二化合物係胺、硼烷、膦、或水其中之至少一者。在一些實施例中,胺具有式Np Hn Xm ,其中0≤n≤3,0≤m≤3,當p=1時n+m=3,而當p=2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之一族群的鹵素。在一些實施例中,硼烷具有式Bp Hn Xm ,其中0≤n≤3,0≤m≤3,當p=1時n+m=3,而當p=2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之一族群的鹵素。在一些實施例中,膦具有式Pp Hn Xm ,其中0≤n≤3,0≤m≤3,當p=1時n+m=3,而當p=2時n+m=4,且每一個X係獨立地選自於由F、Cl、Br及I所組成之一族群的鹵素。
圖11B係繪示一些實施例中金屬前驅物因為暴露至光化輻射而經過的反應。因為暴露至光化輻射,配位基L係自金屬前驅物的金屬中心M+ 分離,且二個或更多的金屬前驅物中心彼此結合。
圖11C係繪示根據本揭露一些實施例的有機金屬前驅物的具體例。在圖11C中,Bz係苯基。
在一些實施例中,沉積光阻組成物的光阻沉積操作S110係藉由氣相沉積操作來進行。在一些實施例中,氣相沉積操作包含原子層沉積或化學氣相沉積。在一些實施例中,原子層沉積包含電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PE-ALD),且化學氣相沉積包含電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PE-CVD)、金屬有機氣相沉積(metal-organic chemical vapor deposition,MO-CVD);常壓化學氣相沉積(atmospheric pressure chemical vapor deposition,AP-CVD)、及低壓化學氣相沉積(low pressure chemical vapor deposition,LP-CVD)。
根據本揭露之一些實施例的光阻層沉積設備200係繪示於圖12。在一些實施例中,沉積設備200係ALD或CVD設備。沉積設備200包含真空腔室205。在真空腔室205中的基材支撐檯210支撐基材10,例如矽晶圓。在一些實施例中,基材支撐檯210包含加熱器。在一些實施例中,第一前驅物或化合物氣體供應器220及載流/沖洗氣體供應器225係透過氣體管線235連接至腔室的入口230,而第二前驅物或化合物氣體供應器240及載流/沖洗氣體供應器225係透過氣體管線235’連接至腔室的另一個入口230’。腔室係抽成真空的,且過量的反應物及反應副產物係藉由真空泵245透過出口250及排氣管線255來移除。在一些實施例中,前驅物氣體及載流/沖洗氣體的流速或脈衝、過量反應物及反應副產物的排出、真空腔室205內部壓力、及真空腔室205或晶圓支撐檯210的溫度係藉由控制器260來控制,其係配置以控制每一個參數。
沉積光阻層包含藉由在氣相中結合第一化合物或第一前驅物及第二化合物或第二前驅物,以形成光阻組成物。在一些實施例中,光阻組成物的第一化合物或第一前驅物及第二化合物或第二前驅物係在約相同時間透過入口230及230’通入沉積腔室205(CVD腔室)。在一些實施例中,第一化合物或第一前驅物及第二化合物或第二前驅物係以交替的方式透過入口230及230’通入沉積腔室205(ALD腔室),例如首先一化合物或前驅物,然後第二化合物或前驅物,之後交替重覆通入一化合物或前驅物,接著第二化合物或前驅物。
在一些實施例中,在沉積操作過程中,沉積腔室的溫度範圍為約30°C至約400°C,而在另一些實施例中係介於約50°C至約250°C。在一些實施例中,在沉積操作過程中,沉積腔室的壓力範圍為約5 mTorr至約100 mTorr,而在另一些實施例中係介於約100 mTorr至約10 Torr。在一些實施例中,電漿能量係低於約1000 W。在一些實施例中,電漿能量範圍為約100 W至約900 W。在一些實施例中,第一化合物或前驅物及第二化合物或前驅物的流速範圍為約100 sccm至約1000 sccm。在一些實施例中,有機金屬前驅物對第二化合物或前驅物的流速比之範圍為約1:1至約1:5。在上述範圍以外的操作參數,在一些實施例中,產生令人不滿意的光阻層。在一些實施例中,光阻層的形成係在單一腔室中發生(單鍋式層製成)。
在根據本揭露之一些實施例的CVD製程中,有機金屬前驅物及第二前驅物之二個或更多的氣體流係以分離的入口通道230、235及230’、235’通入CVD設備的沉積腔室205,其中他們係在氣相中混合並反應,以形成反應產物。在一些實施例中,流體係利用分離的注射入口230、230’或雙室噴灑頭來通入。沉積設備係配置為使有機金屬前驅物及第二前驅物的流體在腔室中混合,並使有機金屬前驅物及第二前驅物反應,以形成反應產物。在不限於本揭露的機制、功用或設備下,相信其在氣相反應的產物會具有較大的分子量,然後凝結或以其他方式沉積在基材10上。
在一些實施例中,ALD製程係被用以沉積光阻層。在ALD過程中,一層係藉由暴露基材表面至不同的氣相化合物(或前驅物)以成長在基材10上。不同於CVD,前驅物係以一系列連續且非重疊的脈衝被通入。在每一個脈衝下,前驅物分子係以自限的方式與表面反應,因此當表面上的所有反應位置被消耗,反應即終止。然後,在單獨暴露至所有前驅物(所謂ALD週期)之後,沉積在表面上之材料的最大量係由前驅物-表面相互作用的性質所決定。
在ALD製程的實施例中,在第一半反應中,有機金屬前驅物係脈衝以傳送含金屬的前驅物至基材10表面。在一些實施例中,有機金屬前驅物與合適的下方物種(例如基材表面上的OH或NH官能基)反應,以形成新的自飽和表面。在一些實施例中,過量的未使用反應物及反應副產物係藉由利用真空泵245抽真空及/或流入惰性沖洗氣體。然後,在一些實施例中,第二前驅物(例如氨(NH3 ))係脈衝至沉積腔室。NH3 與在基材上的有機金屬前驅物反應,以在基材表面上獲得反應產物光阻。第二前驅物亦與下方的反應物種形成自飽和鍵,以提供另外的自限及飽和的第二半反應。在一些實施例中,進行第二沖洗,以移除未使用的反應物及反應副產物。第一前驅物及第二前驅物的脈衝係交替進行,其間進行沖洗操作,直到獲得所要的光阻層厚度。
在一些實施例中,光阻層15係形成為厚度約5 nm至約50 nm,而在另一些實施例中,厚度為約10 nm至約30 nm。本領域中具有通常知識者應理解在上述明確範圍內的其他厚度範圍係應考慮且係在本揭露內。厚度可利用基於光阻層的光學性質以X射線反射率及/或橢圓偏振技術的非接觸方法來估計。在一些實施例中,每一個光阻層厚度係相對地均勻以利於製程。在一些實施例中,沉積的光阻層厚度的變化相對於平均厚度係不多於±25%,在另一些實施例中,光阻層厚度的變化相對於平均厚度係不多於±10%。在一些實施例中,例如在較大基材上的高均勻性沉積,光阻層均勻性的估計可以邊緣排除1公分來估計,例如層均勻性係不以塗層部分的邊緣1公分內來估計。本領域中具有通常知識者應理解在上述明確範圍內的其他範圍係應考慮且係在本揭露內。
在一些實施例中,第一及第二化合物或前驅物係以載流氣體傳送至沉積腔室205。載流氣體、沖洗氣體、沉積氣體或其他製程氣體可包含氮氣、氫氣、氬氣、氖氣、氦氣或前述之組合。
在一些實施例中,有機金屬化合物包含以錫(Sn)、銻(Sb)、鉍(Bi)、銦(In)、及/或碲(Te)做為金屬組成物,然而,本揭露不限於前述金屬。在另一些實施例中,其他合適的金屬包含鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈷(Co)、鉬(Mo)、鎢(W)、鋁(Al)、鎵(Ga)、矽(Si)、鍺(Ge)、磷(P)、砷(As)、釔(Y)、鑭(La)、鈰(Ce)、鎦(Lu)或前述之組合。其他的金屬可取代Sn、Sb、Bi、In及/或Te或除了Sn、Sb、Bi、In及/或Te再添加的金屬。
所使用的特定金屬可顯著地影響輻射的吸收。因此,金屬組成物可基於所要的輻射及吸收剖面來選擇。錫、銻、鉍、碲及銦提供對13.5 nm的極紫外光的強吸收。鉿提供對電子束及極紫外光的良好吸收。包含鈦、釩、鉬或鎢的金屬組成物具有對較長波長的強吸收,以提供對例如248 nm波長的紫外光的靈敏度。
圖13係繪示根據本揭露一實施例之光阻組成物經過暴露至光化輻射及加熱的反應。圖13係繪示根據本揭露一些實施例之光阻圖案化方法之各階段下光阻層(photoresist layer,PR)的例示化學結構。如圖13所示,光阻組成物包含有機金屬化合物(例如SnX2 R2 )及第二化合物(例如氨)。當有機金屬化合物與氨結合,有機金屬化合物與一些在氣相中的氨反應,以形成具有胺基基團連接有機金屬化合物之金屬(Sn)的反應產物。被沉積光阻層之胺基基團具有氫鍵,其可實質增加被沉積光阻層的沸點,且有助於防止含金屬之光阻材料的釋氣。再者,胺基基團的氫鍵可控制濕氣在光阻層品質上的影響。
在一些實施例中,光阻組成物係在溶劑內的有機聚合物基組成物,其係藉由旋塗製程所沉積,接著進行第一加熱以移除溶劑。
當接著暴露至極紫外光,有機金屬化合物吸收極紫外光,且一個或更多個有機R基團係與有機金屬化合物分開,以在輻射曝光區域中形成胺基金屬化合物。然後,在一些實施例中,當進行後曝光烘烤,胺基金屬化合物透過胺基基團交聯,如圖13所示。在一些實施例中,胺基金屬化合物因為暴露至極紫外光而部分交聯。
在一些實施例中,表面處理操作S120係原位(in-situ)操作,其中表面處理係在與光阻沉積操作S110相同的製程腔室中進行。在另一些實施例中,表面處理操作S120係外部(ex-situ)操作,其中表面處理係在與光阻沉積操作S110不同的製程腔室中進行。
在一些實施例中,在形成光阻層15之前,將被圖案化層60係被設置在基材10上,如圖14所示。在一些實施例中,將被圖案化層60係金屬化層或設置在金屬化層上的介電層,例如鈍化層。在將被圖案化層60係金屬化層的實施例中,將被圖案化層60係由導電材料所形成,其係利用金屬化製程以及金屬沉積技術,包含化學氣相沉積、原子層沉積及物理氣相沉積(濺鍍)。同樣地,若將被圖案化層60係介電層,將被圖案化層60係藉由介電層形成技術所形成,其係包含熱氧化、化學氣相沉積、原子層沉積及物理氣相沉積。
然後,光阻層15之表面係被處理,以使光阻層15之上部部分轉化成脫水膜20,如參閱圖3的說明,如圖15所示。
接著,光阻層15係選擇性地暴露至光化輻射45,以在光阻層中形成曝光區域50及未曝光區域52,如圖16A及圖16B所示以及本文之參閱圖7A及圖7B的說明。在一些實施例中,如本文所述,光阻係負光阻。
如圖17A及圖17B所示,未曝光光阻區域52係被顯影,如參閱圖8A及圖8B之說明,以形成光阻開口55的圖案,如圖18A及圖18B所示。
然後,如圖19所示,光阻層15中的圖案55”係利用蝕刻操作轉移至將被圖案化層60,且光阻層係被移除,如參閱圖10的說明,以在將被圖案化層60中形成圖案55”。在一些實施例中,在蝕刻操作中所使用蝕刻劑係對將被圖案化層60有選擇性的。
相較於習知的曝光技術,根據本揭露的新穎光阻層表面處理及光微影圖案化方法,在較高的晶圓曝光通量下,其提供較高的半導體裝置特徵解析度及密度,且在較高效率製程中減少缺陷。本揭露的實施例防止光阻層的濕氣及氧氣吸收,且避免光阻層在後續製程中釋氣。本揭露的實施例避免製程腔室、操作工具及在金屬光阻殘留物附近的其他晶圓的汙染。本揭露的實施例提供光阻膜優化的穩定度。相較於形成額外的覆蓋層在光阻層上,本揭露的實施例以較低成本提供優化的光阻膜穩定度及防止釋氣。此外,在一些實施例中,不需要額外移除脫水膜的操作,因為脫水膜係在現存的製程操作中被移除,例如光阻灰化或蝕刻操作。在一些實施例中,光阻層表面處理係有效地在與光阻層形成操作相同的製程腔室中進行。
本揭露之一實施例係一種半導體裝置的製造方法,包含形成光阻層在基材上,並形成脫水膜在光阻層上。選擇性地暴露光阻層至光化輻射,以在光阻層中形成曝光部分及未曝光部分。顯影光阻層,以移除光阻層之未曝光部分以及在光阻層之未曝光部分上的脫水膜之第一部分。在一實施例中,方法包含藉由使用光阻層之曝光部分做為光罩來蝕刻基材。在一實施例中,方法包含移除光阻層之曝光部分以及在光阻層之曝光部分上的脫水膜之第二部分。在一實施例中,形成脫水膜之步驟包含在80°C至150°C之溫度範圍下加熱光阻層之表面。在一實施例中,形成脫水膜之步驟包含施加氧化劑(臭氧)至光阻層之表面。在一實施例中,形成脫水膜之步驟包含施加有機溶劑蒸汽至光阻層之表面。在一實施例中,形成脫水膜之步驟包含暴露光阻層之表面至紫外光。在一實施例中,形成脫水膜之步驟包含以下之至少二者:在80°C至150°C之溫度範圍下加熱光阻層之表面、施加臭氧至光阻層之表面、施加有機溶劑蒸汽至光阻層之表面、以及暴露光阻層之表面至紫外光。在一實施例中,方法包含在形成光阻層之步驟之前,形成將被圖案化層在基材上,其中將被圖案化層係由不同於基材之材料所形成。在一實施例中,脫水膜之厚度相對於所形成之光阻層之原始厚度的比例之範圍係1/100至1/10。
本揭露之另一實施例係一種半導體裝置的製造方法,包含形成光阻層在基材上,其中形成光阻層之步驟包含在氣相中結合第一前驅物及第二前驅物,以形成光阻材料,以及沉積光阻材料在基材上。光阻層具有朝向基材之第一表面及相對的第二表面。處理光阻層之第二表面,以形成脫水膜在光阻層上方。選擇性地暴露光阻層至光化輻射,以在光阻層中形成潛在圖案。藉由施加顯影劑至選擇性曝光的光阻層來顯影潛在圖案,以在光阻層中形成圖案。在顯影潛在圖案之後,脫水膜之第一部分保持在光阻層上。在一實施例中,方法包含延伸光阻層中之圖案至基材內。在一實施例中,第一前驅物係具有式Ma Rb Xc 的有機金屬,其中M係Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者;R係取代或未取代的烷基、烯基、或羧基基團;X係鹵基或磺酸基基團;且1≤a≤2,b≥1,c ≥1且b+c≤5;以及第二前驅物係胺、硼烷、膦、或水其中至少一者。在一實施例中,光阻材料係藉由原子層沉積或化學氣相沉積而沉積在基材上。在一實施例中,形成脫水膜之步驟包含以下之至少二者:在80°C至150°C之溫度範圍下加熱光阻層之第二表面、施加臭氧至光阻層之第二表面、施加有機溶劑蒸汽至光阻層之第二表面以及暴露光阻層之第二表面至紫外光。在一實施例中,光化輻射係極紫外光。在一實施例中,在選擇性暴露光阻層至光化輻射,以形成潛在圖案之後,且在顯影潛在圖案之前,方法包含後曝光烘烤光阻層。在一實施例中,後曝光烘烤係在100°C至500°C之溫度範圍下進行。藉由蝕刻操作延伸圖案至基材內。在一實施例中,在顯影潛在圖案之後而保持在光阻層上之脫水膜之部分係在蝕刻操作過程中被移除。
本揭露之另一實施例係一種防止含金屬光阻釋氣的方法,其係包含形成含金屬光阻層在基材上。含金屬光阻層具有朝向基材之第一主表面及相對的第二主表面。處理光阻層之第二主表面,以形成阻障膜在光阻層上,以防止光阻層釋氣。處理光阻層之第二主表面之步驟包含以下之至少一者或多者:在80°C至150°C之溫度範圍下加熱光阻層之第二主表面、施加臭氧至光阻層之第二主表面、施加有機溶劑蒸汽至光阻層之第二主表面以及暴露光阻層之第二主表面至紫外光。依圖案暴露光阻層至光化輻射,以形成光阻層之曝光部分及未曝光部分。移除光阻層之未曝光部分及在光阻層之未曝光部分上之阻障膜的第一部分。在一實施例中,方法包含在形成光阻層之前,形成將被圖案化層在基材上,其中將被圖案化層包含不同於基材之材料成分。在一實施例中,方法包含藉由使用光阻層之曝光部分及保持在光阻層之曝光部分上的阻障膜之第二部分做為光罩,來蝕刻將被圖案化層。在一實施例中,阻障膜之厚度範圍為0.1 nm至5 nm。在一實施例中,阻障膜之厚度相對於所形成之光阻層之原始厚度的比例範圍係1/100至1/10。在一實施例中,光化輻射係極紫外光。在一實施例中,在選擇性暴露光阻層至光化輻射,以形成潛在圖案之後,且在顯影潛在圖案之前,方法包含後曝光烘烤光阻層。在一實施例中,後曝光烘烤係在100°C至500°C之溫度範圍下進行。在一實施例中,形成光阻層係藉由原子層沉積或化學氣相沉積。
本揭露之另一實施例係一種方法,其係包含形成光阻層在基材上。光阻層之主表面係被處理以形成脫水膜在光阻層上。光阻層之主表面的表面處理包含以下之一者或多者:在80°C至150°C之溫度範圍下加熱光阻層之主表面、施加臭氧至光阻層之主表面、施加有機溶劑蒸汽至光阻層之主表面以及暴露光阻層之主表面至紫外光。光阻層係依圖案交聯,且在依圖案交聯過程中未交聯之光阻層部分係被移除,以在光阻層中形成圖案。在一實施例中,在依圖案交聯之後且在移除未交聯之光阻層部分之前,方法包含加熱光阻層。在一實施例中,在依圖案交聯之後,在加熱光阻層過程中,光阻層係在100°C至500°C之溫度範圍下加熱。在一實施例中,移除光阻層之部分包含施加顯影劑至依圖案交聯的光阻層。在一實施例中,移除光阻層之部分包含施加電漿至依圖案交聯的光阻層。在一實施例中,光阻層之被表面處理之主表面的第一部分係在移除未交聯之光阻層過程中被移除,其中光阻層之被表面處理之主表面的第一部分係在依圖案交聯過程中未交聯之光阻層之部分上方。在一實施例中,方法包含藉由蝕刻基材來延伸光阻層中的圖案至基材內,其係使用依圖案交聯之光阻層及在依圖案交聯之光阻層上方的脫水膜之第二部分做為光罩。在一實施例中,方法包含移除依圖案交聯光阻層及脫水膜之第二部分。在一實施例中,脫水膜之厚度範圍為0.1 nm至5 nm。在一實施例中,脫水膜之厚度相對於所形成之光阻層之原始厚度的比例範圍係1/100至1/10。
本揭露之另一實施例係一種半導體裝置的製造方法,包含沉積氣相有機金屬化合物及第二氣相物的反應產物在基材上,以形成光阻層在基材上。有機金屬化合物具有式Ma Rb Xc ,其中M係Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者;R係取代或未取代的烷基、烯基或羧基基團;X係鹵基或磺酸基基團;且1≤a≤2, b≥1, c ≥1且b+c≤5;以及第二前驅物係胺、硼烷、膦或水其中至少一者。光阻層之主表面係被處理以使光阻層之主表面轉化為脫水膜。光阻層係依圖案交聯而在光阻層中形成潛在圖案。藉由施加顯影劑至依圖案交聯的光阻層而顯影潛在圖案,以形成暴露出將被圖案化層之表面部分的光阻圖案。將被圖案化層係被蝕刻,其係使用光阻圖案及在光阻圖案上的脫水膜之第一部分做為光罩。在一實施例中,脫水膜之厚度範圍為0.1 nm至5 nm。在一實施例中,在依圖案交聯過程中未交聯之光阻層之部分上方的脫水膜之第二部分係在顯影潛在圖案的過程中被移除。在一實施例中,依圖案交聯光阻層包含透過脫水膜而使依圖案暴露光阻層至極紫外光。在一實施例中,依圖案交聯光阻層包含在100°C至500°C之溫度範圍下加熱光阻層。在一實施例中,脫水膜之厚度相對於所形成之光阻層之原始厚度的比例範圍係1/100至1/10。在一實施例中,在依圖案交聯光阻層之後,以及顯影潛在圖案之前,方法包含加熱光阻層。
本揭露之另一實施例係一種圖案化光阻層的方法,其係包含藉由氣相沉積操作而沉積光阻層在基材上。光阻層包含有機金屬化合物與第二化合物的反應產物,其中第二化合物係胺、硼烷、膦或水之至少一者。在一實施例中,光阻層之主表面係被處理以使光阻層之主表面轉化為脫水膜。光阻層係透過脫水膜而選擇性地暴露至光化輻射,以在光阻層中形成潛在圖案。光阻層未暴露至光化輻射之部分係被移除,以形成光阻層之剩餘部分的圖案,其係在選擇性曝光光阻層過程中暴露至光化輻射。在一實施例中,方法包含藉由移除光阻層之部分來移除基材暴露之部分。在一實施例中,移除基材之部分包含乾式蝕刻基材。在一實施例中,移除光阻層之部分包含施加電漿至光阻層。在一實施例中,氣相沉積操作包含原子層沉積或化學氣相沉積。在一實施例中,有機金屬化合物具有式Ma Rb Xc ,其中M係Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者;R係取代或未取代的烷基、烯基或羧基基團;X係鹵基或磺酸基基團;且1≤a≤2,b≥1, c ≥1且b+c≤5。在一實施例中,形成脫水膜之步驟包含在80°C至150°C之溫度範圍下加熱光阻層之主表面。在一實施例中,表面處理包含施加臭氧至光阻層之主表面。在一實施例中,表面處理包含施加有機溶劑蒸汽至光阻層之主表面。在一實施例中,表面處理包含暴露光阻層之主表面至紫外光。在一實施例中,脫水膜之厚度相對於所形成之光阻層之原始厚度的比例範圍係1/100至1/10。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
100:流程 S110,S120,S130,S140,S150:操作 10:基材 15:光阻層 17:前驅物 19,19A,19B,19C:表面處理 19a:加熱元件 20:脫水膜 30:光罩 35:圖案 40:光罩基材 45:輻射 50:曝光區域 52:未曝光區域 55:開口 55’:凹槽 55”:圖案 57:顯影劑 60:將被圖案化層 62:分配器 65:反射光罩 70:玻璃基材 75:反射多層 80:覆蓋層 85:吸收層 90:後導電層 95:極紫外光 97:輻射 105:乾式顯影劑 200:沉積設備 205:真空腔室 210:支撐檯 220,225,240:氣體供應器 230,230’:入口 235,235’:氣體管線 245:真空泵 250:出口 255:排氣管線 260:控制器
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。 [圖1]係繪示根據本揭露一些實施例之半導體裝置的製程流程圖。 [圖2]係繪示根據本揭露一實施例之順序操作的製程階段。 [圖3]係繪示根據本揭露一實施例之順序操作的製程階段。 [圖4A]、[圖4B]、[圖4C]及 [圖4D]係繪示根據本揭露一些實施例之半導體裝置的製程流程圖。 [圖5A]、[圖5B]、[圖5C]及 [圖5D]係繪示根據本揭露一些實施例之半導體裝置的製程流程圖。 [圖6A]、[圖6B]及[圖6C]係繪示根據本揭露一些實施例之半導體裝置的製程流程圖。 [圖7 A]及[圖7B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖8 A]及[圖8B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖9A]及[圖9B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖10]係繪示根據本揭露一實施例之順序操作的製程階段。 [圖11A]、[圖11B]及[圖11C]係繪示根據本揭露一些實施例之有機金屬前驅物。 [圖12]係繪示根據本揭露一些實施例之光阻沉積設備。 [圖13]係繪示根據本揭露一實施例之光阻層因暴露至光化輻射所經過的反應。 [圖14]係繪示根據本揭露一實施例之順序操作的製程階段。 [圖15]係繪示根據本揭露一實施例之順序操作的製程階段。 [圖16A]及[圖16B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖17A]及[圖17B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖18A]及[圖18B]係繪示根據本揭露一些實施例之順序操作的製程階段。 [圖19]係繪示根據本揭露一實施例之順序操作的製程階段。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:流程
S110,S120,S130,S140,S150:操作

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 形成一光阻層在一基材上; 形成一脫水膜在該光阻層上; 選擇性地暴露該光阻層至光化輻射,以形成該光阻層之一曝光部分及一未曝光部分;以及 顯影該光阻層,以移除該光阻層之該未曝光部分及在該光阻層之該未曝光部分上之該脫水膜的一第一部分。
  2. 如請求項1所述之方法,更包含: 藉由使用該光阻層之該曝光部分做為一光罩來蝕刻該基材。
  3. 如請求項1所述之方法,更包含: 移除該光阻層之該曝光部分及在該光阻層之該曝光部分上之該脫水膜的一第二部分。
  4. 如請求項1所述之方法,其中該形成該脫水膜之步驟包含在80°C至150°C之一溫度範圍下加熱該光阻層之一表面。
  5. 如請求項1所述之方法,其中該形成該脫水膜之步驟包含施加氧化劑至該光阻層之一表面。
  6. 如請求項1所述之方法,其中該形成該脫水膜之步驟包含施加一有機溶劑蒸汽至該光阻層之一表面。
  7. 如請求項1所述之方法,其中該形成該脫水膜之步驟包含暴露該光阻層之一表面至紫外光。
  8. 如請求項1所述之方法,其中該形成該脫水膜之步驟包含以下之至少二者:在80°C至150°C之一溫度範圍下加熱該光阻層之一表面、施加氧化劑至該光阻層之一表面、施加一有機溶劑蒸汽至該光阻層之一表面、以及暴露該光阻層之一表面至紫外光。
  9. 如請求項1所述之方法,更包含: 在該形成一光阻層之步驟之前,形成一將被圖案化層在該基材上,其中該將被圖案化層係由不同於該基材之一材料所形成。
  10. 如請求項1所述之方法,其中該脫水膜之一厚度相對於所形成之該光阻層之一原始厚度的一比例之一範圍係1/100至1/10。
  11. 一種半導體裝置的製造方法,包含: 形成一光阻層在一基材上,包含: 在一氣相中結合一第一前驅物及一第二前驅物,以形成一光阻材料,以及 沉積該光阻材料在該基材上,其中該光阻層具有朝向該基材之一第一表面及相對的一第二表面; 處理該光阻層之該第二表面,以形成一脫水膜在該光阻層上方; 選擇性地暴露該光阻層至光化輻射,以在該光阻層中形成一潛在圖案;以及 顯影該潛在圖案,藉由施加一顯影劑至該選擇性暴露的光阻層,以在該光阻層中形成一圖案, 其中在顯影該潛在圖案之後,該脫水膜之一第一部分保持在該光阻層上。
  12. 如請求項11所述之方法,更包含: 延伸該光阻層中之該圖案至該基材內。
  13. 如請求項11所述之方法,其中該第一前驅物係有機金屬,具有下式: Ma Rb Xc 其中M係Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu之至少一者, R係取代或未取代的烷基、烯基、或羧基基團, X係鹵基或磺酸基基團,且 1≤a≤2, b≥1, c ≥1且b+c≤5;以及 該第二前驅物係胺、硼烷、膦、或水其中至少一者。
  14. 如請求項11所述之方法,其中該光阻材料係藉由原子層沉積(atomic layer deposition,ALD)或化學氣相沉積(chemical vapor deposition,CVD)而沉積在該基材上。
  15. 如請求項11所述之方法,其中該處理該光阻層之該第二表面以形成一脫水膜之步驟包含以下之至少一者:在80°C至150°C之一溫度範圍下加熱該光阻層之該第二表面、施加臭氧至該光阻層之該第二表面、施加一有機溶劑蒸汽至該光阻層之該第二表面、以及暴露該光阻層之該第二表面至紫外光。
  16. 一種防止含金屬光阻釋氣的方法,包含: 形成一含金屬光阻層在一基材上,其中該含金屬光阻層具有朝向該基材之一第一主表面及相對的一第二主表面; 處理該光阻層之該第二主表面,以形成一阻障膜在該光阻層上,以防止該光阻層釋氣, 其中該處理該光阻層之該第二主表面之步驟包含以下之至少一者或多者:在80°C至150°C之一溫度範圍下加熱該光阻層之該第二主表面、施加臭氧至該光阻層之該第二主表面、施加一有機溶劑蒸汽至該光阻層之該第二主表面、以及暴露該光阻層之該第二主表面至紫外光; 依圖案暴露該光阻層至光化輻射,以形成該光阻層之一曝光部分及一未曝光部分;以及 移除該光阻層之該未曝光部分及在該光阻層之該未曝光部分上之該阻障膜的一第一部分。
  17. 如請求項16所述之方法,更包含: 在該形成該光阻層之步驟之前,形成一將被圖案化層在該基材上,其中該將被圖案化層包含不同於該基材之一材料成分。
  18. 如請求項17所述之方法,更包含: 藉由使用該光阻層之該曝光部分及保持在該光阻層之該曝光部分上的該阻障膜之一第二部分做為一光罩,來蝕刻該將被圖案化層。
  19. 如請求項16所述之方法,其中該阻障膜之一厚度範圍為0.1 nm至5 nm。
  20. 如請求項16所述之方法,其中該阻障膜之一厚度相對於所形成之該光阻層之一原始厚度的一比例之一範圍係1/100至1/10。
TW110113656A 2020-06-18 2021-04-15 半導體裝置的製造方法及防止含金屬光阻釋氣的方法 TWI790594B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063041058P 2020-06-18 2020-06-18
US63/041,058 2020-06-18
US17/156,365 US12002675B2 (en) 2020-06-18 2021-01-22 Photoresist layer outgassing prevention
US17/156,365 2021-01-22

Publications (2)

Publication Number Publication Date
TW202201131A true TW202201131A (zh) 2022-01-01
TWI790594B TWI790594B (zh) 2023-01-21

Family

ID=77525317

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110113656A TWI790594B (zh) 2020-06-18 2021-04-15 半導體裝置的製造方法及防止含金屬光阻釋氣的方法

Country Status (5)

Country Link
US (1) US12002675B2 (zh)
KR (1) KR20210157304A (zh)
CN (1) CN113359392A (zh)
DE (1) DE102021101893A1 (zh)
TW (1) TWI790594B (zh)

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US5366852A (en) * 1992-09-21 1994-11-22 Shipley Company, Inc. Methods for treating photoresists
JPH075695A (ja) 1993-03-16 1995-01-10 Japan Synthetic Rubber Co Ltd レジスト表面の処理方法
KR100244516B1 (ko) 1996-11-29 2000-03-02 전주범 패턴 형성 방법
US6096484A (en) * 1997-10-15 2000-08-01 Kabushiki Kaisha Toshiba Pattern forming method using chemically amplified resist and apparatus for treating chemically amplified resist
US6727047B2 (en) 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US20020071995A1 (en) 1999-04-16 2002-06-13 Montgomery Melvin Warren Photoresist topcoat for deep ultraviolet (DUV) direct write laser mask fabrication
JP2002118049A (ja) * 2000-10-06 2002-04-19 Hitachi Ltd 半導体集積回路装置の製造方法
US7192841B2 (en) * 2002-04-30 2007-03-20 Agency For Science, Technology And Research Method of wafer/substrate bonding
US7147973B2 (en) * 2003-03-19 2006-12-12 Micron Technology, Inc. Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
JP2008041741A (ja) * 2006-08-02 2008-02-21 Matsushita Electric Ind Co Ltd パターン形成方法
JP2009098395A (ja) * 2007-10-17 2009-05-07 Panasonic Corp バリア膜形成用材料及びパターン形成方法
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
WO2014159427A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9341945B2 (en) * 2013-08-22 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of formation and use
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
JP2017521715A (ja) * 2014-07-08 2017-08-03 東京エレクトロン株式会社 ネガティブトーン現像剤相溶性フォトレジスト組成物及び使用方法
JP6319188B2 (ja) 2015-05-27 2018-05-09 信越化学工業株式会社 スルホニウム塩、化学増幅レジスト組成物、及びパターン形成方法
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
JP6827037B2 (ja) * 2016-04-28 2021-02-10 東洋合成工業株式会社 レジスト組成物及びそれを用いたデバイスの製造方法
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
KR20210010587A (ko) * 2018-06-13 2021-01-27 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11784046B2 (en) * 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
US12002675B2 (en) 2024-06-04
KR20210157304A (ko) 2021-12-28
CN113359392A (zh) 2021-09-07
TWI790594B (zh) 2023-01-21
US20220028684A1 (en) 2022-01-27
DE102021101893A1 (de) 2021-12-23

Similar Documents

Publication Publication Date Title
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
TWI773415B (zh) 製造半導體裝置的方法
TWI781629B (zh) 半導體裝置的製造方法
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TWI804806B (zh) 製造半導體元件的方法
US20220291587A1 (en) Method of manufacturing a semiconductor device
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法