CN113359392A - 光致抗蚀剂层脱气防止 - Google Patents

光致抗蚀剂层脱气防止 Download PDF

Info

Publication number
CN113359392A
CN113359392A CN202110434939.9A CN202110434939A CN113359392A CN 113359392 A CN113359392 A CN 113359392A CN 202110434939 A CN202110434939 A CN 202110434939A CN 113359392 A CN113359392 A CN 113359392A
Authority
CN
China
Prior art keywords
photoresist layer
layer
photoresist
substrate
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110434939.9A
Other languages
English (en)
Inventor
陈彥儒
刘之诚
郭怡辰
李志鸿
李资良
翁明晖
郑雅如
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113359392A publication Critical patent/CN113359392A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

本申请涉及光致抗蚀剂层脱气防止。具体地,一种制造半导体器件的方法包括:在基板上方形成光致抗蚀剂层;以及在所述光致抗蚀剂层上方形成脱水膜。所述光致抗蚀剂层被选择性地暴露于光化辐射,以形成所述光致抗蚀剂层的暴露部分和未暴露部分。所述光致抗蚀剂层被显影以去除所述光致抗蚀剂层的所述未暴露部分和位于所述光致抗蚀剂层的所述未暴露部分上方的所述脱水膜的第一部分。在一个实施方式中,所述方法包括通过使用所述光致抗蚀剂层的所述暴露部分作为掩模来蚀刻所述基板。

Description

光致抗蚀剂层脱气防止
相关申请
本申请要求2020年6月18日提交的美国临时专利申请号63/041,058的优先权,其全部内容通过引用并入本文。
背景技术
随着消费者设备响应于消费者需求而已变得越来越小,这些设备的各个部件也已必定在大小上减小。组成诸如移动电话、计算机平板等的设备的主要部件的半导体器件已被迫变得越来越小,同时对应地迫使半导体器件内的各个器件(例如,晶体管、电阻器、电容器等)也在大小上减小。
在半导体器件的制造过程中使用的一种使能技术是使用光刻材料。将此类材料施加至要图案化的层的表面,然后暴露于本身已被图案化的能量。此类暴露改变了光敏材料的暴露区域的化学和物理特性。可以利用这种改变以及在未暴露的光敏材料区域中缺乏改变,来去除一个区域而不去除另一个区域。
然而,随着各个器件的大小减小,用于光刻处理的工艺窗口变得越来越收紧。如此,光刻处理领域中的进步对于维持按比例缩小器件的能力是必需的,并且为了满足期望的设计标准,以便可以维持朝向越来越小的部件前进,还需要进一步的改进。
发明内容
根据本发明的一个实施方式涉及一种用于制造半导体器件的方法,所述方法包括:在基板上方形成光致抗蚀剂层;在所述光致抗蚀剂层上方形成脱水膜;使所述光致抗蚀剂层选择性地暴露于光化辐射,以形成所述光致抗蚀剂层的暴露部分和未暴露部分;以及使所述光致抗蚀剂层显影以去除所述光致抗蚀剂层的所述未暴露部分和位于所述光致抗蚀剂层的所述未暴露部分上方的所述脱水膜的第一部分。
根据本发明的另一个实施方式涉及一种制造半导体器件的方法,所述方法包括:在基板上方形成光致抗蚀剂层,包括:以蒸汽状态将第一前体和第二前体组合以形成光致抗蚀剂材料,以及将所述光致抗蚀剂材料沉积在所述基板上方,其中所述光致抗蚀剂层具有面对所述基板的第一表面和相反的第二表面;处理所述光致抗蚀剂层的所述第二表面以形成覆盖所述光致抗蚀剂层的脱水膜;使所述光致抗蚀剂层选择性地暴露于光化辐射以在所述光致抗蚀剂层中形成潜在图案;通过将显影剂施加至经选择性暴露的光致抗蚀剂层使潜在图案显影以在所述光致抗蚀剂层中形成图案,其中在所述使潜在图案显影之后所述脱水膜的一部分保留在所述光致抗蚀剂层上方。
根据本申请的另一个实施方式涉及一种用于防止含金属的光致抗蚀剂的脱气的方法,所述方法包括:在基板上方形成含金属的光致抗蚀剂层,其中所述含金属的光致抗蚀剂层具有面对所述基板的第一主表面和相反的第二主表面;处理所述光致抗蚀剂层的所述第二主表面,以在所述光致抗蚀剂层上方形成阻挡膜,以防止从所述光致抗蚀剂层脱气,其中所述处理所述光致抗蚀剂层的所述第二主表面包括以下项中的一个或多个:在80℃至150℃范围内的温度下加热所述光致抗蚀剂层的所述第二主表面,将臭氧施加至所述光致抗蚀剂层的所述第二主表面,将有机溶剂蒸气施加至所述光致抗蚀剂层的所述第二主表面,以及使所述光致抗蚀剂层的所述第二主表面暴露于紫外线辐射;使所述光致抗蚀剂层图案化暴露于光化辐射,以形成所述光致抗蚀剂层的暴露部分和未暴露部分;以及去除所述光致抗蚀剂层的所述未暴露部分和位于所述光致抗蚀剂层的所述未暴露部分上方的所述阻挡膜的第一部分。
附图说明
当结合附图阅读时,从以下详细描述将最好地理解本公开。要强调的是,根据行业中的标准实践,各种特征未按比例绘制并且仅用于说明目的。实际上,为了讨论清楚起见,各种特征的尺寸可以任意增大或减小。
图1图示了根据本公开的实施方式的制造半导体器件的工艺流程。
图2示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图3示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图4A、图4B、图4C和图4D图示了根据本公开的实施方式的制造半导体器件的工艺流程。
图5A、图5B、图5C和图5D图示了根据本公开的实施方式的制造半导体器件的工艺流程。
图6A、图6B和图6C图示了根据本公开的实施方式的制造半导体器件的工艺流程。
图7A和图7B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图8A和图8B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图9A和图9B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图10示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图11A、图11B和图11C示出了根据本公开的一个实施方式的有机金属前体。
图12示出了根据本公开的一些实施方式的光致抗蚀剂沉积装置。
图13示出了根据本公开的一个实施方式的光致抗蚀剂层由于暴露于光化辐射和加热而经历的反应。
图14示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图15示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
图16A和图16B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图17A和图17B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图18A和图18B示出了根据本公开的实施方式的顺序操作的工艺阶段。
图19示出了根据本公开的一个实施方式的顺序操作的工艺阶段。
具体实施方式
应当理解的是,以下公开提供了用于实现本公开的不同特征的许多不同的实施方式或示例。下文描述了部件和布置的具体实施方式或示例以简化本公开。当然,这些仅是示例,并不旨在进行限制。例如,元件的尺寸不限于所公开的范围或值,而是可以取决于工艺条件和/或器件的期望特性。此外,在下面的描述中在第二特征上方或之上形成第一特征可包括其中第一特征和第二特征形成为直接接触的实施方式,并且还可包括其中可以形成插置在第一特征与第二特征之间的附加特征,使得第一特征和第二特征可不直接接触的实施方式。为了简单和清楚起见,可以以不同比例任意绘制各种特征。
此外,为了描述方便起见,本文中可以使用空间相对术语,例如“在......下方”、“在......以下”、“在......下部”、“在......以上”、“在......上部”等来描述如图所示的一个元件或特征与另外一个或多个元件或特征的关系。除了图中所描绘的取向外,空间相对术语还旨在涵盖器件在使用或操作中的不同取向。可以将器件以其他方式取向(旋转90度或处于其他取向),并且可以同样地相应解释本文中所使用的空间相对描述词。另外,术语“由......制成”可以表示“包含”或“由......组成”。
随着半导体行业已经为了追求更高的器件密度、更高的性能和更低的成本而进展到纳米技术工艺节点,在减小半导体特征大小方面存在挑战。已经开发了极紫外光刻(EUVL)以形成更小的半导体器件特征大小并增加半导体晶片上的器件密度。为了改善EUVL,需要增大晶片暴露生产量。晶片暴露生产量可以通过增加暴露功率或增加抗蚀剂光速度(灵敏度)来提高。
将含金属的光致抗蚀剂用于极紫外光刻法中,因为金属具有对EUV辐射的高吸收能力。然而,含金属的光致抗蚀剂会吸收周围的湿气和氧气,这可降低图案分辨率。对湿气和氧气的吸收可引发光致抗蚀剂层中的交联反应,从而降低光致抗蚀剂中的未暴露区域对光致抗蚀剂显影剂的溶解度。此外,光致抗蚀剂层中的挥发性前体可在辐射暴露和显影操作之前脱气,这将导致光致抗蚀剂层的质量随时间推移变化,并且可导致对半导体器件处理室、处理设备和其他半导体晶片的污染。光致抗蚀剂层的湿气和氧气吸收以及光致抗蚀剂脱气负面地影响光刻性能并且增加缺陷。
为了防止湿气和氧气吸收以及光致抗蚀剂脱气,本公开的实施方式处理光致抗蚀剂层的表面以在光致抗蚀剂层上方形成脱水膜(或阻挡膜)。脱水膜或阻挡膜形成阻挡层,从而防止挥发物从光致抗蚀剂层中脱气并且防止周围的水和氧气与光致抗蚀剂层起反应。
图1图示了根据本公开的实施方式的制造半导体器件的工艺流程。在一些实施方式中,在操作S110中将抗蚀剂涂布在要图案化的层或基板10的表面上,以形成抗蚀剂层15,如图2所示。在一些实施方式中,抗蚀剂为通过化学气相沉积(CVD)或原子层沉积(ALD)形成的含金属的光致抗蚀剂。在一些实施方式中,通过旋涂方法形成含金属的光致抗蚀剂层。
随后在抗蚀剂层15上执行表面处理S120以形成表面处理的层(或脱水膜)20,如图3所示。根据本公开的实施方式的表面处理S120通过脱水反应将抗蚀剂层15的表面转换为脱水膜20。由于脱水反应,脱水膜20与下面的含金属的抗蚀剂层15的金属密度比具有较高的金属密度。在一些实施方式中,表面处理包括热处理、表面氧化、暴露于溶剂蒸气、或暴露于紫外线辐射。在一些实施方式中,在脱水膜20上未形成附加涂层。
图4A-4D图示了根据本公开的实施方式的抗蚀剂表面处理。如图4A所示,在半导体基板10上方形成含金属的抗蚀剂层15。挥发性含金属的抗蚀剂前体17可从抗蚀剂层脱气。随后在抗蚀剂层15的表面上形成表面处理19,如图4B所示。表面处理19在抗蚀剂层15的表面处引起脱水反应,从而形成脱水膜20,该脱水膜阻止含金属的抗蚀剂前体17从抗蚀剂层15中脱气。脱水膜20将在抗蚀剂层15中的挥发性含金属的前体17捕获并防止前体17污染半导体器件工艺线,包括处理室、处理工具、输送机构和正被处理的其他半导体晶片。
在一些实施方式中,光致抗蚀剂层15被形成为约5nm至约50nm的厚度,而在其他实施方式中被形成为约10nm至约30nm的厚度。在一些实施方式中,脱水膜具有约0.1nm至约5nm的厚度,而在其他实施方式中,具有约0.2nm至约2nm的厚度。在一些实施方式中,脱水膜的厚度与所形成的光致抗蚀剂层的原始厚度之比为1/100至1/10。如果脱水膜比所公开的范围的上限厚,则难以在光刻图案化操作之后去除脱水膜。另外,脱水膜下的光致抗蚀剂层可能变得太薄,使得不利地影响光致抗蚀剂图案的分辨率。另外,太厚的脱水膜可以在暴露于光化辐射S130期间阻挡太多的光化辐射,使得光致抗蚀剂层的下部暴露不足。另一方面,如果脱水膜的厚度小于所公开的范围的下限,则脱水膜可能不够防止抗蚀剂脱气以及抗蚀剂层的水和氧气吸收。
可通过若干不同的工艺形成脱水膜20。在一个实施方式中,表面处理19是热处理。在一些实施方式中,将经抗蚀剂涂布的基板放置在定位在抗蚀剂层15的上表面上方的具有加热元件19a的烘箱中,如图4D所示。在一些实施方式中,加热元件是定位在抗蚀剂层15的上表面上方的红外加热灯。抗蚀剂层15的上表面在约80℃至约150℃的温度下被加热约1分钟至约10分钟。如果温度高于所公开的范围的上限或者加热的持续时间长于所公开的范围的上限,则脱水膜20可能太厚。如以上所讨论的,如果脱水膜20太厚,则光致抗蚀剂图案分辨率受损失,并且难以去除脱水膜。进一步地,如果温度太高,则光致抗蚀剂膜可能分解。另一方面,如果在低于所公开的范围的温度下或者在比所公开的范围短的持续时间内加热抗蚀剂层15,则脱水膜20可能太薄。如上所述,如果脱水膜20太薄,则脱水膜可能不够防止抗蚀剂脱气以及抗蚀剂层的水和氧气吸收。在一些实施方式中,在基板或上面放置有基板的基板台下方不提供加热元件。在一些实施方式中,冷却基板或基板台以使基板或晶片维持在比抗蚀剂层表面低的温度下。在一些实施方式中,基板或晶片被维持在约20℃至约30℃的温度下。
在另一实施方式中,表面处理19是氧化处理。在一些实施方式中,使抗蚀剂层15暴露于氧化剂,诸如臭氧(O3)以氧化抗蚀剂层15的表面以形成脱水膜20。在一些实施方式中,在约65℃至约100℃的温度下施加臭氧。在一些实施方式中,抗蚀剂层15在约1毫托至约10托的压力下被暴露于臭氧约5秒至约30秒。如果温度、臭氧压力或臭氧暴露的持续时间高于所公开的范围,则脱水膜20可能太厚。如以上所讨论的,如果脱水膜20太厚,则光致抗蚀剂图案分辨率受损失,并且难以去除脱水膜。另一方面,如果温度、臭氧压力或暴露于臭氧的持续时间低于所公开的范围,则脱水膜20可能太薄。如上所述,如果脱水膜20太薄,则脱水膜可能不够防止抗蚀剂脱气以及抗蚀剂层的水和氧气吸收。在一些实施方式中,包括二氧化氮和氧自由基的其他氧化剂用于氧化抗蚀剂层15。在一些实施方式中,氧自由基由远程等离子体源产生并被引入到抗蚀剂层15的表面上方以形成脱水膜20。
在另一实施方式中,表面处理剂19是暴露于溶剂蒸气。在一些实施方式中,抗蚀剂层15被暴露于溶剂蒸气,诸如过氧化氢;或过乙酸;醇,诸如甲醇、乙醇、正丙醇、异丙醇、正丁醇、仲丁醇、叔丁醇、1-戊醇、2-戊醇、3-戊醇、异戊醇、2-甲基-1-丁醇、2,2-二甲基丙烷-1-醇、3-甲基-2-丁醇或2-甲基丁烷-2-醇;多羟基醇,诸如乙二醇或甘油;醚,诸如甲基叔丁基醚、二异丙醚、二甲氧基乙烷;苯;甲苯;二甲苯;或丙酮,以形成脱水膜20。在一些实施方式中,在约65℃至约100℃的温度下施加溶剂蒸气。在一些实施方式中,抗蚀剂层15在约1毫托至约10托的压力下被暴露于溶剂蒸气约5秒至约30秒。如果温度、蒸气压力或溶剂蒸气暴露的持续时间高于所公开的范围,则脱水膜20可能太厚。如以上所讨论的,如果脱水膜20太厚,则光致抗蚀剂图案分辨率受损失,并且难以去除脱水膜。另一方面,如果温度、蒸气压力或暴露于溶剂蒸气的持续时间低于所公开的范围,则脱水膜20可能太薄。如上所述,如果脱水膜20太薄,则脱水膜可能不够防止抗蚀剂脱气以及抗蚀剂层的水和氧气吸收。在一些实施方式中,诸如N2、H2或Ar的载气用于提供溶剂蒸气。
在另一实施方式中,表面处理19是将抗蚀剂层15的上表面全面暴露于紫外线辐射。在一些实施方式中,抗蚀剂层15被暴露于波长范围为约200nm至约400nm的紫外线,以在抗蚀剂层15的上表面中引发交联反应。暴露剂量基本上小于交联抗蚀剂层的整个厚度所需要的暴露剂量。在一些实施方式中,暴露剂量的范围为抗蚀剂层在光刻图案化操作期间经受的暴露剂量的约1%至约10%。在一些实施方式中,暴露剂量的范围为约3.1eV至约6.2eV。在一些实施方式中,将抗蚀剂层15在具有约1毫托至约10托的压力的真空环境中暴露于紫外线辐射约5秒至约30秒。如果暴露剂量、环境压力或紫外线暴露的持续时间高于所公开的范围,则脱水膜20可能太厚。如以上所讨论的,如果脱水膜20太厚,则光致抗蚀剂图案分辨率受损失,并且难以去除脱水膜。另一方面,如果暴露剂量、环境压力或暴露于紫外线辐射的持续时间低于所公开的范围,则脱水膜20可能太薄。如上所述,如果脱水膜20太薄,则脱水膜可能不够防止抗蚀剂脱气以及抗蚀剂层的水和氧气吸收。在一些实施方式中,在表面处理期间使上面放置有基板的基板台维持在约20℃至约30℃的温度下。
在一些实施方式中,在抗蚀剂层15上执行多个表面处理19A、19B、19C以形成脱水膜20,如图5A-5D所示。在一些实施方式中第一表面处理19A是热处理,如图5A所示。热处理参数可以与参考图4A-4C先前公开的那些相同。在一些实施方式中第二表面处理19B是溶剂蒸气处理,如图5B所示。溶剂蒸气处理参数可以与参考图4A-4C先前公开的那些相同。然后,在一些实施方式中在抗蚀剂层115上执行诸如氧化处理的第三表面处理19C,如图5C所示。氧化处理参数可以与参考图4A-4C先前公开的那些相同。如图5D所示,所得的脱水膜20阻止抗蚀剂脱气17。调整每个表面处理19A、19B和19C的参数,使得脱水膜20的厚度在期望的厚度范围内,诸如在约0.1nm与约5nm之间。尽管所示表面处理的次序是热处理、溶剂蒸气处理和氧化处理,但是在一些实施方式中表面处理的次序发生改变。例如,在一些实施方式中,首先执行溶剂蒸气处理。在其他实施方式中,首先执行氧化处理。在一些实施方式中,还执行紫外线辐射暴露处理。在一些实施方式中,在同一处理室中执行两个或更多个处理。
如图6A、图6B和图6C所示,同时或以交叠方式在抗蚀剂层15上执行表面处理19A、19B中的两个或更多个以形成脱水膜20。在基板10上方形成抗蚀剂层15,如图6A所示。然后,执行两个或更多个表面处理19A、19B,如图6B所示,以形成图6C所示的脱水膜。两个或更多个表面处理可以是在本文公开的处理参数下的热处理、氧化处理、溶剂蒸气处理或紫外线辐射处理的任何组合。在一些实施方式中,在同一室中执行热处理、氧化处理和溶剂蒸气处理的任何组合,并且在不同室中执行紫外线辐射处理。在一些实施方式中,基本上同时执行热处理、氧化处理和溶剂蒸气处理中的两个或全部三个。在一些实施方式中,多个表面处理改善脱水膜20的功能。
在一些实施方式中,在与含金属的光致抗蚀剂沉积相同的室中形成热处理、氧化处理或溶剂蒸气处理。热处理方便并可被高效地进行。可快速地执行氧化处理和溶剂蒸气处理。紫外线辐射处理可跨抗蚀剂层表面提供一致的交联轮廓。本文描述的表面处理中的每一个均被控制以控制脱水膜20的厚度并确保脱水膜20跨抗蚀剂层15的表面一致。特别地,表面处理被控制以防止将抗蚀剂层15的下部转换为脱水膜20。
随后在图1的操作S130中使抗蚀剂层15和脱水膜20选择性地暴露于光化辐射45/97(参见图7A和图7B)。抗蚀剂层15通过脱水膜20被暴露于光化辐射45/97。在一些实施方式中,光化辐射45/97基本上未被脱水膜20吸收。在一些实施方式中,使光致抗蚀剂层15选择性地或图案化地暴露于紫外线辐射。在一些实施方式中,紫外线辐射为深紫外线辐射(DUV)。在一些实施方式中,紫外线辐射为极紫外线(EUV)辐射。在一些实施方式中,使抗蚀剂层15选择性地或图案化地暴露于电子束。在一些实施方式中,抗蚀剂层15是对光化辐射45/97光敏的光致抗蚀剂层。
根据本公开的光致抗蚀剂层是这样的层,所述层在吸收光化辐射时经历化学反应,导致所述光致抗蚀剂层的暴露于光化辐射的部分在显影剂中的溶解度与所述光致抗蚀剂层的未暴露于光化辐射的部分相比发生改变。对光化辐射非光敏的层在暴露于光化辐射时基本上不经历改变层在显影剂中的溶解度的化学反应。
如图7A所示,在一些实施方式中暴露辐射45在辐射光致抗蚀剂层15之前穿过光掩模30。在一些实施方式中,光掩模30具有要在光致抗蚀剂层15中复制的图案。在一些实施方式中,该图案由光掩模基板40上的不透明图案35形成。不透明图案35可以由对紫外线辐射不透明的材料(例如铬)形成,而光掩模基板40由对紫外线辐射透明的材料(例如熔融石英)形成。
在一些实施方式中,使用极紫外光刻法来执行光致抗蚀剂层15的选择性或图案化暴露,以形成暴露区域50和未暴露区域52。在极紫外光刻操作中,反射光掩模65用于在一些实施方式中形成图案化的暴露光,如图7B所示。反射光掩模65包括低热膨胀玻璃基板70,在所述低热膨胀玻璃基板上形成有含Si和Mo的反射多层75。在反射多层75上形成盖层80和吸收层85。在低热膨胀基板70的背面上形成后导电层90。极紫外辐射95以约6°的入射角朝向反射光掩模65。极紫外辐射的一部分97被Si/Mo多层75朝着涂布有光致抗蚀剂的基板10反射,而极紫外辐射的入射到吸收层85上的部分被光掩模吸收。在一些实施方式中,包括镜子在内的附加光学器件位于反射光掩模65与经光致抗蚀剂涂布的基板10之间。
在一些实施方式中,通过将经光致抗蚀剂涂布的基板放置在光刻工具中来进行对辐射的暴露。光刻工具包括光掩模30/65、光学器件、提供辐射45/97以用于暴露的暴露辐射源,以及用于在暴露辐射下支撑和移动基板的可移动平台。
在一些实施方式中,在光刻工具中使用光学器件(未示出)以在由光掩模30/65图案化辐射45/97之前或之后扩展、反射或以其他方式控制辐射。在一些实施方式中,光学器件包括一个或多个透镜、镜子、滤光器以及它们的组合,以控制辐射45/97沿着其路径。
在一些实施方式中,辐射是电磁辐射,例如g线(波长为约436nm)、i线(波长为约365nm)、紫外线辐射、远紫外线辐射、极紫外线、电子束等。在一些实施方式中,辐射源选自由以下项组成的组:汞蒸气灯、氙气灯、碳弧灯、KrF准分子激光(波长为248nm)、ArF准分子激光(波长为193nm)、F2准分子激光(波长为157nm),或CO2激光激发的Sn等离子体(极紫外线,波长为13.5nm)。
电磁辐射的量可用注量或剂量来表征,所述注量或剂量是通过暴露时间内的积分辐射通量获得的。合适的辐射注量的范围在一些实施方式中为约1mJ/cm2至约150mJ/cm2,在其他实施方式中为约2mJ/cm2至约100mJ/cm2,并且在其他实施方式中为约3mJ/cm2至约50mJ/cm2。本领域的普通技术人员将认识到,在上述明确范围内的辐射注量的附加范围是可预期的,并且在本公开内容内。
在一些实施方式中,通过扫描电子束执行选择性或图案化暴露。使用电子束光刻,电子束诱导次级电子,所述次级电子改变被辐射的材料。使用电子束光刻和本文公开的含金属抗蚀剂可实现高分辨率。电子束可通过所述射束的能量表征,并且合适的能量范围在一些实施方式中为约5V至约200kV(千伏),并且在其他实施方式中为约7.5V至约100kV。在30kV下的经邻近校正的射束的剂量的范围在一些实施方式中为约0.1μC/cm2至约5μC/cm2,在其他实施方式中为约0.5μC/cm2至约1μC/cm2,并且在其他实施方式中为约1μC/cm2至约100μC/cm2。本领域的普通技术人员可以基于本文的教导计算在其他射束能量下的对应剂量,并且将认识到在上述明确范围内的电子束特性的附加范围是预期的并且在本公开内容内。
在一些实施方式中,抗蚀剂层15的暴露使用浸没光刻技术。在此类技术中,将浸没介质(未示出)放置在最终光学器件与光致抗蚀剂层之间,并且暴露辐射45穿过浸没介质。
抗蚀剂层的暴露于辐射50的区域经历化学反应,从而改变其在后续显影操作S150中被去除的易感性。在一些实施方式中,抗蚀剂层的暴露于辐射50的部分经历使得暴露部分更容易在显影操作S150期间被去除的反应。在其他实施方式中,抗蚀剂层的暴露于辐射50的部分经历使得暴露部分抵抗在显影操作S150期间被去除的反应。
接下来,在操作S140中抗蚀剂层15经历加热或暴露后烘烤(PEB)。在一些实施方式中,在约50℃至约250℃的温度下加热抗蚀剂层15约20秒至约300秒。在一些实施方式中,在约100℃至约230℃范围内的温度下执行暴露后烘烤,并且在其他实施方式中,在约150℃至约200℃范围内的温度下执行暴露后烘烤。在一些实施方式中,暴露后烘烤操作S140使在操作S130中暴露于光化操作的抗蚀剂层15中的第一化合物或第一前体和第二化合物或第二前体的反应产物进一步交联。
随后在操作S150中使经选择性暴露的抗蚀剂层15显影。在一些实施方式中,通过将基于溶剂的显影剂57施加至经选择性暴露的抗蚀剂层来使抗蚀剂层15显影。如图8A所示,将液体显影剂57从分配器62供应至抗蚀剂层15和脱水膜20。在一些实施方式中,光致抗蚀剂的暴露部分50由于暴露于光化辐射或暴露后烘烤而经历交联反应,并且通过显影剂57去除光致抗蚀剂层52的未暴露部分,从而在光致抗蚀剂层15中形成开口55的图案以暴露基板10,如图9A和图9B所示。
在一些实施方式中,抗蚀剂显影剂57包含溶剂和酸或碱。在一些实施方式中,基于抗蚀剂显影剂的总重量,溶剂的浓度为约60重量%至约99重量%。基于抗蚀剂显影剂的总重量,酸或碱的浓度为约0.001重量%至约20重量%。在某些实施方式中,基于抗蚀剂显影剂的总重量,显影剂中的酸或碱浓度为约0.01重量%至约15重量%。
在一些实施方式中,使用旋涂工艺将显影剂57施加至抗蚀剂层15。在旋涂工艺中,在使经抗蚀剂涂布的基板旋转的同时将显影剂57从抗蚀剂层15以上施加至抗蚀剂层15,如图8A所示。在一些实施方式中,以介于约100rpm与约2000rpm之间的速度使经光致抗蚀剂涂布的基板旋转的同时以介于约5ml/min与约800ml/min之间的速率供应显影剂。在一些实施方式中,显影剂处于约10℃至约80℃之间的温度。在一些实施方式中,显影操作持续约30秒至约10分钟。
在一些实施方式中,显影剂57为有机溶剂。有机溶剂可以是任何合适的溶剂。在一些实施方式中,溶剂为选自以下项中的一者或多者:丙二醇甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)、四氢呋喃(THF)和二噁烷。
虽然旋涂操作是一种用于在暴露之后使光致抗蚀剂层15显影的合适方法,但是其旨在为说明性的而非意图限制实施方式。相反,可以可替代地使用任何合适的显影操作,包括浸渍工艺、浸置式工艺(puddle process)和喷涂方法。所有此类显影操作都包括在实施方式的范围内。
在一些实施方式中,将干式显影剂105施加至经选择性暴露的抗蚀剂层15和脱水膜20,如图8B所示。在一些实施方式中,干式显影剂105为等离子体或化学蒸气,并且干式显影操作S150为等离子体蚀刻或化学蚀刻操作。干式显影使用与组成、交联程度和膜密度有关的差异来选择性地去除抗蚀剂的期望部分。在一些实施方式中,干式显影工艺使用温和的等离子体(高压、低功率)或在加热的真空室中的热处理,与此同时使干式显影化学物质(例如BCl3、BF3或其他路易斯酸)以蒸气状态流动。在一些实施方式中,BCl3去除未暴露的材料,留下经暴露的膜的图案,该图案通过基于等离子体的蚀刻工艺转印至下层。
在一些实施方式中,干式显影包括等离子体工艺,包括变压器耦合的等离子体(TCP)、电感耦合的等离子体(ICP)或电容耦合的等离子体(CCP)。在一些实施方式中,在约5毫托至约20毫托范围内的压力、约250W至约1000W的功率水平、在约0℃至约300℃范围内的温度以及约100sccm至约1000sccm的流速下进行等离子体工艺约1秒至约3000秒。
显影操作S150在暴露基板10的部分的抗蚀剂层中提供图案55,如图9A和图9B所示。在一些实施方式中,显影操作S150去除光致抗蚀剂层15的暴露区域50和未暴露区域52上方的脱水膜20,如图9B所示。在显影操作之后,在经图案化的光致抗蚀剂层15、50处于适当位置的同时,执行附加处理。例如,在一些实施方式中使用干式或湿式蚀刻执行蚀刻操作,以将抗蚀剂层15、50的图案转移到下面的基板10,从而形成如图10所示的凹部55’。基板10具有与抗蚀剂层15不同的耐蚀刻性。在一些实施方式中,蚀刻剂对基板10比对抗蚀剂层15更具选择性。
在一些实施方式中,在蚀刻操作期间至少部分地去除经图案化的抗蚀剂层15、50。在其他实施方式中,在通过选择性蚀刻对基板10进行蚀刻之后,使用合适的抗蚀剂剥离溶剂或通过抗蚀剂等离子体灰化操作去除经图案化的抗蚀剂层15、50。
在一些实施方式中,基板10在至少其表面部分上包括单晶半导体层。基板10可包含单晶半导体材料,例如但不限于Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP。在一些实施方式中,基板10是SOI(绝缘体上硅)基板的硅层。在某些实施方式中,基板10由结晶Si制成。
基板10可在其表面区域中包括一个或多个缓冲层(未示出)。缓冲层可用于将晶格常数从基板的晶格常数逐渐改变为随后形成的源极/漏极区的晶格常数。缓冲层可以由外延生长的单晶半导体材料形成,所述单晶半导体材料为例如但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP。在一个实施方式中,硅锗(SiGe)缓冲层在硅基板10上外延生长。SiGe缓冲层的锗浓度可以从最底部缓冲层的30原子%增加到最顶部缓冲层的70原子%。
在一些实施方式中,基板10包括至少一种金属、金属合金以及具有式MXa的金属氮化物/硫化物/氧化物/硅化物的一个或多个层,其中M是金属并且X是N、S、Se、O、Si,而且a约0.4至约2.5。在一些实施方式中,基板10包含钛、铝、钴、钌、氮化钛、氮化钨、氮化钽,以及它们的组合。
在一些实施方式中,基板10包含至少具有式MXb的硅或金属氧化物或氮化物的电介质材料,其中M为金属或Si,X为N或O,并且b为约0.4至约2.5。在一些实施方式中,基板10包含二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧,以及它们的组合。
光致抗蚀剂层15是通过暴露于光化辐射而图案化的感光层。通常,被入射辐射撞击的光致抗蚀剂区域的化学特性以取决于所使用的光致抗蚀剂的类型的方式改变。光致抗蚀剂层15为正色调抗蚀剂或负色调抗蚀剂。正色调抗蚀剂是指这样的光致抗蚀剂材料,所述光致抗蚀剂材料当暴露于辐射(诸如UV光)时变得可溶于显影剂中,而所述光致抗蚀剂的未暴露(或暴露较少)的区域不溶于所述显影剂。另一方面,负色调抗蚀剂是指这样的光致抗蚀剂材料,所述光致抗蚀剂材料当暴露于辐射时变得不溶于显影剂,而所述光致抗蚀剂的未暴露(或较少暴露)的区域可溶于所述显影剂。负色调抗蚀剂在暴露于辐射时变得不溶的区域可能是由于暴露于辐射所引起的交联反应而变得不溶的。
在一些实施方式中,光致抗蚀剂层包括高灵敏度的光致抗蚀剂组合物。在一些实施方式中,高灵敏度光致抗蚀剂组合物对极紫外线(EUV)辐射高度敏感。
在一些实施方式中,光致抗蚀剂层15由光致抗蚀剂组合物制成,所述光致抗蚀剂组合物包含以蒸气状态组合的第一化合物或第一前体和第二化合物或第二前体。第一前体或第一化合物为具有下式的有机金属:第一前体或第一化合物是具有式MaRbXc的有机金属,如图11A所示,其中M为Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一者;并且R为取代或未取代的烷基、烯基或羧酸根基团。在一些实施方式中,M选自由以下项组成的组:Sn、Bi、Sb、In、Te,以及它们的组合。在一些实施方式中,R为C3-C6烷基、烯基或羧酸根。在一些实施方式中,R选自由以下项组成的组:丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、仲戊基、叔戊基、己基、异己基、仲己基、叔己基,以及它们的组合。X为与第二化合物或第二前体起反应的配体、离子或其他部分;并且在一些实施方式中,1≤a≤2,b≥1,c≥1,并且b+c≤5。在一些实施方式中,烷基、烯基或羧酸根被一个或多个氟基取代。在一些实施方式中,有机金属前体为二聚体,如图11A所示,其中每个单体单元通过胺基连接。每种单体具有如上所定义的式:MaRbXc
在一些实施方式中,R为烷基,诸如CnH2n+1,其中n≥3。在一些实施方式中,R为氟化的,例如具有式CnFxH((2n+1)-x)。在一些实施方式中,R具有至少一个β-氢或β-氟。在一些实施方式中,R选自由以下项组成的组:异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基和仲-戊基,以及它们的组合。
在一些实施方式中,X为容易被第二化合物或第二前体置换以产生M-OH部分的任何部分,诸如选自由以下项组成的组的部分:胺,包括二烷基氨基和单烷基氨基;烷氧基;羧酸酯、卤素和磺酸酯。在一些实施方式中,磺酸酯基团被一个或多个胺基团取代。在一些实施方式中,卤离子是选自由F、Cl、Br和I组成的组中的一种或多种。在一些实施方式中,磺酸酯基团包括取代或未取代的C1-C3基团。
在一些实施方式中,第一有机金属化合物或第一有机金属前体包括金属核M+,其中配体L附连至该金属核M+,如图11B所示。在一些实施方式中,金属核M+为金属氧化物。在一些实施方式中配体L包括C3-C12脂族或芳族基。脂族或芳族基可以是直链或支链的,具有含有1-9个碳的环状或非环状饱和侧基,包括烷基、烯基和苯基。支链基可以进一步被氧或卤素进一步取代。在一些实施方式中,C3-C12脂族或芳族基包括杂环基。在一些实施方式中,C3-C12脂族或芳族基通过醚或酯键附连至金属。在一些实施方式中,C3-C12脂族或芳族基包括亚硝酸根和磺酸根取代基。
在一些实施方式中,有机金属前体或有机金属化合物包括仲己基三(二甲基氨基)锡、叔己基三(二甲基氨基)锡、异己基三(二甲基氨基)锡、正己基三(二甲基氨基)锡、仲戊基三(二甲基氨基)锡、叔戊基三(二甲基氨基)锡、异戊基三(二甲基氨基)锡、正戊基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、叔丁基三(二甲基氨基)锡、异丁基三(三甲基氨基)锡、正丁基三(二甲基氨基)锡、仲丁基三(二甲基氨基)锡、异丙基三(二甲基氨基)锡、正丙基三(二乙基氨基)锡和类似的烷基三(叔丁氧基)锡化合物,包括仲己基三(叔丁氧基)锡、叔己基三(叔丁氧基)锡、异己基三(叔丁氧基)锡、正己基三(叔丁氧基)锡、仲戊基三(叔丁氧基)锡、叔戊基三(叔丁氧基)锡、异戊基三(叔丁氧基)锡、正戊基三(叔丁氧基)锡、叔丁基三(叔丁氧基)锡、异丁基三(丁氧基)锡、正丁基三(丁氧基)锡、仲丁基三(丁氧基)锡、异丙基三(二甲基氨基)锡或正丙基三(丁氧基)锡。在一些实施方式中,有机金属前体或有机金属化合物是氟化的。在一些实施方式中,有机金属前体或化合物的沸点小于约200℃。
在一些实施方式中,第一化合物或第一前体包括可与在基板或中间底层的表面上诸如羟基的官能团配位的一个或多个不饱和键,以改善光致抗蚀剂对基板或底层的粘合力。
在一些实施方式中,第二前体或第二化合物是胺、硼烷、膦或水中的至少一者。在一些实施方式中,胺具有式NpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,而当p为2时n+m=4,并且每个X独立地为选自由F、Cl、Br和I组成的卤素。在一些实施方式中,硼烷具有式BpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,而当p为2时n+m=4,并且每个X独立地为选自由F、Cl、Br和I组成的组的卤素。在一些实施方式中,膦具有式PpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,或者当p为2时n+m=4,并且每个X独立地为选自由F、Cl、Br和I组成的组的卤素。
图11B示出了在一些实施方式中金属前体由于暴露于光化辐射而经历的反应。由于暴露于光化辐射,配体基团L从金属前体的金属核M+分裂出来,并且两个或更多个金属前体核相互结合。
图11C示出了根据本公开的实施方式的有机金属前体的示例。在图11C中Bz为苯基。
在一些实施方式中,通过气相沉积操作来执行沉积光致抗蚀剂组合物的操作S110。在一些实施方式中,气相沉积操作包括原子层沉积(ALD)或化学气相沉积(CVD)。在一些实施方式中,ALD包括等离子体增强型原子层沉积(PE-ALD),并且CVD包括等离子体增强型化学气相沉积(PE-CVD)、金属-有机化学气相沉积(MO-CVD)、大气压化学气相沉积(AP-CVD)和低压化学气相沉积(LP-CVD)。
在图12中示出了根据本公开的一些实施方式的抗蚀剂层沉积装置200。在一些实施方式中,沉积装置200是ALD或CVD装置。沉积装置200包括真空室205。真空室205中的基板支撑台210支撑诸如硅晶片的基板10。在一些实施方式中,基板支撑台210包括加热器。在一些实施方式中,第一前体或化合物气体供应器220和载气/吹扫气体供应器225经由气体管线235连接至室中的入口230,而第二前体或化合物气体供应器240和载气/吹扫气体供应器225经由另一气体管线235’连接至室中的另一入口230’。室被排空,并且由真空泵245经由出口250和排气管线255去除过量的反应物和反应副产物。在一些实施方式中,前体气体和载气/吹扫气体的流率或脉冲、过量的反应物和反应副产物的排空、真空室205内的压力以及真空室205或晶片支撑台210的温度由被配置为控制这些参数中的每一个的控制器260控制。
沉积光致抗蚀剂层包括以蒸气状态组合第一化合物或第一前体和第二化合物或第二前体以形成光致抗蚀剂组合物。在一些实施方式中,将光致抗蚀剂组合物的第一化合物或第一前体和第二化合物或第二前体经由入口230、230’大约同时引入到沉积室205(CVD室)中。在一些实施方式中,将第一化合物或第一前体和第二化合物或第二前体经由入口230、230’以交替方式引入到沉积室205(ALD室)中,即首先引入一种化合物或前体,然后引入第二化合物或前体,随后交替地重复引入一种化合物或前体,然后引入第二化合物或前体。
在一些实施方式中,沉积室温度在沉积操作期间为约30℃至约400℃,而在其他实施方式中介于约50℃至约250℃之间。在一些实施方式中,沉积室中的压力在沉积操作期间为约5毫托至约100托,而在其他实施方式中介于约100毫托至约10托之间。在一些实施方式中,等离子体功率小于约1000W。在一些实施方式中,等离子体功率为约100W至约900W。在一些实施方式中,第一化合物或前体和第二化合物或前体的流率为约100sccm到约1000sccm。在一些实施方式中,有机金属化合物前体与第二化合物或前体的流量之比为约1:1至约1:5。在上述范围外的工作参数下,在一些实施方式中发生不令人满意的光致抗蚀剂层结果。在一些实施方式中,光致抗蚀剂层形成发生在单个室中(单锅层形成)。
在根据本公开的一些实施方式的CVD工艺中,将有机金属前体和第二前体中的两种或更多种气流以单独的入口路径230、235和230’、235’引入到CVD装置的沉积室205,在沉积室中它们以气相混合和反应,以形成反应产物。在一些实施方式中使用单独的注入入口230、230’或双增压喷头来引入流。沉积装置被配置为使得有机金属前体和第二前体的流在室中混合,从而允许有机金属前体和第二前体起反应以形成反应产物。在不限制本公开的机制、功能或效用的情况下,据信来自气相反应的产物的分子量变得更重,然后被冷凝或以其他方式沉积到基板10上。
在一些实施方式中,ALD工艺用于沉积光致抗蚀剂层。在ALD期间,通过使基板的表面暴露于交替的气态化合物(或前体)在基板10上生长层。与CVD对比,前体作为一系列顺序不交叠的脉冲被引入。在这些脉冲的每一个中,前体分子以自限方式与表面起反应,使得一旦表面上的所有反应位被消耗,反应就终止。因此,在单次暴露于所有前体(所谓的ALD循环)之后沉积在表面上的最大材料量由前体-表面相互作用的性质确定。
在ALD工艺的一个实施方式中,在前半部分反应中用脉冲输送有机金属前体以将含金属的前体递送至基板10表面。在一些实施方式中,有机金属前体与合适的下面的物种(例如,基板的表面上的OH或NH官能度)起反应以形成新的自饱和表面。在一些实施方式中,通过使用真空泵245向下抽真空和/或通过使惰性吹扫气体流动来去除过量未使用的反应物和反应副产物。然后,在一些实施方式中将诸如氨(NH3)的第二前体用脉冲输送到沉积室。NH3与基板上的有机金属前体起反应以在基板表面上获得反应产物光致抗蚀剂。第二前体还与下面的反应性物种形成自饱和键以提供另一自限和饱和的后半部分反应。在一些实施方式中执行第二次吹扫以去除未使用的反应物和反应副产物。第一前体和第二前体的脉冲与中间吹扫操作交替,直到实现光致抗蚀剂层的期望厚度为止。
在一些实施方式中,光致抗蚀剂层15被形成为约5nm至约50nm的厚度,而在其他实施方式中被形成为约10nm至约30nm的厚度。本领域的普通技术人员将认识到,在以上明确范围内的厚度的其他范围是被预期的并在本公开内。可基于光致抗蚀剂层的光学特性使用X射线反射率和/或椭圆偏振法的非接触方法来评价厚度。在一些实施方式中,每个光致抗蚀剂层厚度相对均匀以促进处理。在一些实施方式中,经沉积的光致抗蚀剂层厚度变化与平均厚度相差不超过±25%,在其他实施方式中每个光致抗蚀剂层厚度与平均光致抗蚀剂层厚度相差不超过±10%。在诸如在较大基板上的高均匀性沉积的一些实施方式中,可以用1厘米边缘排除来评价光致抗蚀剂层均匀性,即,不针对边缘的1厘米内的涂层的各部分评价层均匀性。本领域的普通技术人员将认识到,在以上明确范围内的附加范围被预期并在本公开内。
在一些实施方式中,第一化合物或前体和第二化合物或前体随载气被递送到沉积室205中。载气、吹扫气体、沉积气体或其他工艺气体可以含氮气、氢气、氩气、氖气、氦气或它们的组合。
在一些实施方式中,有机金属化合物包括锡(Sn)、锑(Sb)、铋(Bi)、铟(In)和/或碲(Te)作为金属组分,然而,本公开不限于此这些金属。在其他实施方式中,附加合适的金属包括钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W),铝(Al)、镓(Ga)、硅(Si)、锗(Ge)、磷(P)、砷(As)、钇(Y)、镧(La)、铈(Ce)、镥(Lu)或它们的组合。附加金属可以作为Sn、Sb、Bi、In和/或Te的替代或补充。
所使用的特定金属可以显著地影响辐射的吸收。因此,可基于所期望的辐射和吸收横截面来选择金属组分。锡、锑、铋、碲和铟提供对13.5nm下的极紫外光的强烈吸收。铪提供对电子束和极UV辐射的良好吸收。包含钛、钒、钼或钨的金属组合物在较长波长下具有强吸收,以提供例如对248nm波长紫外光的敏感性。
图13示出了根据本公开的一个实施方式的光致抗蚀剂组合物组分由于暴露于光化辐射和加热而经历的反应。图13示出了根据本公开的实施方式的在光致抗蚀剂图案化方法的各个阶段的光致抗蚀剂层(PR)的示例性化学结构。如图13所示,光致抗蚀剂组合物包括有机金属化合物,例如SnX2R2,和第二化合物,例如氨(NH3)。当有机金属化合物和氨被组合时,有机金属化合物与一些氨以气相起反应以形成胺基附连至有机金属化合物的金属(Sn)的反应产物。经沉积的光致抗蚀剂层中的胺基具有氢键,该氢键可实质上增大经沉积的光致抗蚀剂层的沸点并且帮助防止含金属的光致抗蚀剂材料的脱气。此外,胺基的氢键可帮助控制湿气对光致抗蚀剂层质量的影响。
在一些实施方式中,光致抗蚀剂组合物是溶剂中通过旋涂程序沉积、随后进行第一次加热以去除溶剂的基于有机聚合物的组合物。
当随后暴露于极紫外辐射时,有机金属化合物吸收极紫外辐射,并且一个或多个有机R基团从有机金属化合物裂解以在辐射暴露区域中形成氨基金属化合物。然后,当执行暴露后烘烤(PEB)时,在一些实施方式中氨基金属化合物通过胺基交联,如图13所示。在一些实施方式中,由于暴露于极紫外辐射而发生氨基金属化合物的部分交联。
在一些实施方式中,表面处理操作S120是原位操作,其中在与光致抗蚀剂沉积操作S110相同的处理室中执行表面处理。在其他实施方式中,表面处理操作S120是异位操作,其中在与光致抗蚀剂沉积操作S110不同的处理室中执行表面处理。
在一些实施方式中,在形成光致抗蚀剂层15之前使要图案化的层60设置在基板10上方,如图14所示。在一些实施方式中,要图案化的层60是金属化层,或设置在金属化层上方的电介质层,例如钝化层。在要图案化的层60是金属化层的实施方式中,使用金属化工艺和金属沉积技术(包括化学气相沉积、原子层沉积和物理气相沉积(溅射))由导电材料形成要图案化的层60。同样地,如果要图案化的层60是电介质层,则通过包括热氧化、化学气相沉积、原子层沉积和物理气相沉积在内的电介质层形成技术来形成要图案化的层60。
然后,对光致抗蚀剂层15的表面进行处理以像参考图3所说明的那样将抗蚀剂层15的上部转换为脱水膜20,如图15所示。
随后使光致抗蚀剂层15选择性地暴露于光化辐射45以在光致抗蚀剂层中形成暴露区域50和未暴露区域52,如图16A和图16B所示并如本关于图7A和图7B所描述的。如本文所说明的,光致抗蚀剂在一些实施方式中是负色调光致抗蚀剂。
如图17A和图17B所示,像本文参考图8A和图8B所说明的那样使未暴露的光致抗蚀剂区域52显影,以形成光致抗蚀剂开口55的图案,如图18A和图18B所示。
然后如图19所示,使用蚀刻操作将光致抗蚀剂层15中的图案55转移至要图案化的层60,并且像参考图10所说明的那样去除光致抗蚀剂层,以在要图案化的层60中形成图案55”。在一些实施方式中,在蚀刻操作期间使用的蚀刻剂对要图案化的层60是选择性的。
与常规的暴露技术比,根据本公开的新颖的光致抗蚀剂层表面处理和光刻图案化方法以在较高的效率工艺中具有减少缺陷的更高的晶片暴露吞吐量提供更高的半导体器件特征分辨率和密度。本公开的实施方式防止抗蚀剂层的湿气和氧气吸收,并且在后续处理期间防止抗蚀剂层的脱气。本公开的实施方式防止金属抗蚀剂残留物污染处理室、处理工具和其他晶片。本公开的实施方式提供稳定性改善的光致抗蚀剂膜。与在光致抗蚀剂层上方形成附加盖层比,本公开的实施方式以较低成本提供改善的光致抗蚀剂稳定性和脱气防止。另外,在一些实施方式中不需要去除脱水膜的附加操作,因为在现有的处理操作例如光致抗蚀剂灰化或蚀刻操作期间去除脱水膜。在一些实施方式中,在与光致抗蚀剂层形成操作相同的处理室中高效地执行光致抗蚀剂层表面处理。
本公开的一个实施方式是一种制造半导体器件的方法,该方法包括:在基板上方形成光致抗蚀剂层;以及在光致抗蚀剂层上方形成脱水膜。光致抗蚀剂层被选择性地暴露于光化辐射,以形成光致抗蚀剂层的暴露部分和未暴露部分。光致抗蚀剂层被显影以去除光致抗蚀剂层的未暴露部分和位于光致抗蚀剂层的未暴露部分上方的脱水膜的第一部分。在一个实施方式中,该方法包括通过使用光致抗蚀剂层的暴露部分作为掩模来蚀刻基板。在一个实施方式中,该方法包括去除光致抗蚀剂层的暴露部分和位于光致抗蚀剂层的暴露部分上方的脱水膜的第二部分。在一个实施方式中,形成脱水膜包括在80℃至150℃范围内的温度下加热光致抗蚀剂层的表面。在一个实施方式中,形成脱水膜包括将臭氧施加至光致抗蚀剂层的表面。在一个实施方式中,形成脱水膜包括将有机溶剂蒸气施加至光致抗蚀剂层的表面。在一个实施方式中,形成脱水膜包括使光致抗蚀剂层的表面暴露于紫外线辐射。在一个实施方式中,形成脱水膜包括以下项中的至少两个:在80℃至150℃范围内的温度下加热光致抗蚀剂层的表面;将臭氧施加至光致抗蚀剂层的表面;将有机溶剂蒸气施加至光致抗蚀剂层的表面;以及使光致抗蚀剂层的表面暴露于紫外线辐射。在一个实施方式中,该方法包括在形成光致抗蚀剂层之前在基板上方形成要图案化的层,其中要图案化的层由与基板不同的材料形成。在一个实施方式中,脱水膜的厚度与所形成的光致抗蚀剂层的原始厚度之比为1/100至1/10。
本公开的另一实施方式是一种制造半导体器件的方法,该方法包括:在基板上方形成光致抗蚀剂层,其中形成光致抗蚀剂层包括以蒸气状态组合第一前体和第二前体以形成光致抗蚀剂材料;以及在基板上方沉积光致抗蚀剂材料。光致抗蚀剂层具有面对基板的第一表面和相反的第二表面。光致抗蚀剂层的第二表面被处理以形成覆盖光致抗蚀剂层的脱水膜。光致抗蚀剂层被选择性地暴露于光化辐射以在光致抗蚀剂层中形成潜在图案。通过将显影剂施加至经选择性暴露的光致抗蚀剂层使潜在图案显影以在光致抗蚀剂层中形成图案。在使潜在图案显影之后,脱水膜的一部分保留在光致抗蚀剂层上方。在一个实施方式中,该方法包括将光致抗蚀剂层中的图案延伸到基板中。在一个实施方式中,第一前体是具有式MaRbXc的有机金属,其中M为Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一者;并且R为取代或未取代的烷基、烯基或羧酸根基团;X为卤离子或磺酸根基团;并且1≤a≤2,b≥1,c≥1,且b+c≤5;并且第二前体是胺、硼烷、膦或水中的至少一者。在一个实施方式中,通过原子层沉积(ALD)或化学气相沉积(CVD)将光致抗蚀剂材料沉积在基板上方。在一个实施方式中,处理光致抗蚀剂层的第二表面以形成脱水膜包括以下项中的至少一个:在80℃至150℃范围内的温度下加热光致抗蚀剂层的第二表面,将臭氧施加至光致抗蚀剂层的第二表面,将有机溶剂蒸气施加至光致抗蚀剂层的第二表面,以及使光致抗蚀剂层的第二表面暴露于紫外线辐射。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,在使光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后并在使潜在图案显影之前,该方法包括暴露后烘烤光致抗蚀剂层。在一个实施方式中,在100℃至500℃范围内的温度下执行暴露后烘烤。在一个实施方式中,通过蚀刻操作将图案延伸到基板中。在一个实施方式中,在蚀刻操作期间去除在使潜在图案显影之后残留在光致抗蚀剂层上方的脱水膜的部分。
本公开的另一实施方式是一种用于防止含金属的光致抗蚀剂的脱气的方法,该方法包括在基板上方形成含金属的光致抗蚀剂层。含金属的光致抗蚀剂层具有面对基板的第一主表面和相反的第二主表面。光致抗蚀剂层的第二主表面被处理以形成阻挡膜以防止从光致抗蚀剂层脱气。处理光致抗蚀剂层的第二主表面包括以下项中的一个或多个:在80℃至150℃范围内的温度下加热光致抗蚀剂层的第二主表面,将臭氧施加至光致抗蚀剂层的第二主表面,将有机溶剂蒸气施加至光致抗蚀剂层的第二主表面,以及使光致抗蚀剂层的第二主表面暴露于紫外线辐射。光致抗蚀剂层被图案化暴露于光化辐射,以形成光致抗蚀剂层的暴露部分和未暴露部分。光致抗蚀剂层的未暴露部分和位于光致抗蚀剂层的未暴露部分上方的阻挡膜的第一部分被去除。在一个实施方式中,该方法包括在形成光致抗蚀剂层之前在基板上方形成要图案化的层,其中要图案化的层包括与基板不同的材料组成。在一个实施方式中,该方法包括通过使用光致抗蚀剂层的暴露部分和保留在光致抗蚀剂层的暴露部分上方的阻挡膜的第二部分作为掩模来蚀刻要图案化的层。在一个实施方式中,阻挡膜的厚度为0.1nm至5nm。在一个实施方式中,阻挡膜的厚度与所形成的光致抗蚀剂层的原始厚度之比为1/100至1/10。在一个实施方式中,光化辐射是极紫外辐射。在一个实施方式中,在使光致抗蚀剂层选择性地暴露于光化辐射以形成潜在图案之后并在使潜在图案显影之前,该方法包括暴露后烘烤光致抗蚀剂层。在一个实施方式中,在100℃至500℃范围内的温度下执行暴露后烘烤。在一个实施方式中,通过原子层沉积(ALD)或化学气相沉积(CVD)形成光致抗蚀剂层。
本公开的另一实施方式是一种方法,该方法包括在基板上方形成抗蚀剂层。抗蚀剂层的主表面被处理以在抗蚀剂层上形成脱水膜。抗蚀剂层的主表面的表面处理包括以下项中的一个或多个:在80℃至150℃范围内的温度下加热抗蚀剂层的主表面,将臭氧施加至抗蚀剂层的主表面,将有机溶剂蒸气施加至光致抗蚀剂层的主表面,以及使光致抗蚀剂层的主表面暴露于紫外线辐射。抗蚀剂层被图案化交联,并且在图案化交联期间未交联的抗蚀剂层的一部分被去除以在抗蚀剂层中形成图案。在一个实施方式中,该方法包括在图案化交联之后并在去除未交联的抗蚀剂层的一部分之前加热抗蚀剂层。在一个实施方式中,在图案化交联之后在加热抗蚀剂层期间在100℃至500℃范围内的温度下加热抗蚀剂层。在一个实施方式中,去除抗蚀剂层的一部分包括将显影剂施加至经图案化交联的抗蚀剂层。在一个实施方式中,去除抗蚀剂层的一部分包括将等离子体施加至经图案化交联的抗蚀剂层。在一个实施方式中,在去除在图案化交联期间未交联的抗蚀剂层期间去除抗蚀剂层的经表面处理的主表面的第一部分,该第一部分覆盖在图案化交联期间未交联的抗蚀剂层的部分。在一个实施方式中,该方法包括通过使用经图案化交联的抗蚀剂层和覆盖经图案化交联的抗蚀剂层的脱水膜的第二部分作为掩模来蚀刻基板而将抗蚀剂层中的图案延伸到基板中。在一个实施方式中,该方法包括去除经图案化交联的抗蚀剂层和脱水膜的第二部分。在一个实施方式中,脱水膜的厚度为0.1nm至5nm。在一个实施方式中,脱水膜的厚度与所形成的抗蚀剂层的原始厚度之比为1/100至1/10。
本公开的另一实施方式是一种制造半导体器件的方法,该方法包括沉积气相有机金属化合物和第二气相化合物的反应产物以在在基板上要图案化的层上方形成抗蚀剂层。有机金属化合物具有式:MaRbXc,其中M为Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一者;R为取代或未取代的烷基、烯基或羧酸根基团;X为卤离子或磺酸根基团;并且1≤a≤2,b≥1,c≥1,且b+c≤5,并且第二气相化合物为胺、硼烷、膦或水中的至少一者。抗蚀剂层的主表面被处理以将抗蚀剂层的主表面转换为脱水膜。抗蚀剂层被图案化交联以在抗蚀剂层中形成潜在图案。通过将显影剂施加至经图案化交联的抗蚀剂层使潜在图案显影以形成使要图案化的层的表面部分暴露的光致抗蚀剂图案。使用光致抗蚀剂图案和覆盖光致抗蚀剂图案的脱水膜的第一部分作为蚀刻掩模来蚀刻要图案化的层。在一个实施方式中,脱水膜的厚度为0.1nm至5nm。在一个实施方式中,在使潜在图案显影期间去除覆盖在图案化交联期间未交联的抗蚀剂层的一部分的脱水膜的第二部分。在一个实施方式中,图案化交联抗蚀剂层包括通过脱水膜使抗蚀剂层图案暴露于极紫外辐射。在一个实施方式中,图案化交联抗蚀剂层包括在使潜在图案显影之前在100℃至50℃范围内的温度下加热抗蚀剂层。在一个实施方式中,脱水膜的厚度与所形成的抗蚀剂层的原始厚度之比为1/100至1/10。在一个实施方式中,在图案化交联抗蚀剂层图之后并在使潜在图案显影之前,该方法包括加热抗蚀剂层。
本公开的另一实施方式是一种使光致抗蚀剂层图案化的方法,该方法包括通过气相沉积操作在基板上方沉积光致抗蚀剂层。光致抗蚀剂层包括有机金属化合物和第二化合物的反应产物,其中第二化合物是胺、硼烷、膦或水中的至少一者。在一个实施方式中,光致抗蚀剂层的主表面被表面处理以将主表面转换为脱水膜。光致抗蚀剂层通过脱水膜被选择性地暴露于光化辐射以在光致抗蚀剂层中形成潜在图案。光致抗蚀剂层的未暴露于光化辐射的部分被去除以形成光致抗蚀剂层的剩余部分的图案,该部分在使光致抗蚀剂层选择性地暴露期间暴露于光化辐射。在一个实施方式中,该方法包括通过去除光致抗蚀剂层的部分
所暴露的基板的部分。在一个实施方式中,去除基板的部分包括干式蚀刻基板。在一个实施方式中,去除光致抗蚀剂层的部分包括将等离子体施加至光致抗蚀剂层。在一个实施方式中,气相沉积操作包括原子层沉积或化学气相沉积。在一个实施方式中,有机金属化合物具有式:MaRbXc,其中M为Sn、Bi、Sb、In、Te、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce或Lu中的至少一者;R为取代或未取代的烷基、烯基或羧酸根基团;X为卤离子或磺酸根基团;并且1≤a≤2,b≥1,c≥1,且b+c≤5。在一个实施方式中,形成脱水膜包括在80℃至150℃范围内的温度下加热光致抗蚀剂层的主表面。在一个实施方式中,表面处理包括将臭氧施加至光致抗蚀剂层的主表面。在一个实施方式中,表面处理包括将有机溶剂蒸气施加至光致抗蚀剂层的主表面。在一个实施方式中,表面处理包括使光致抗蚀剂层的主表面暴露于紫外线辐射。在一个实施方式中,脱水膜的厚度与所形成的光致抗蚀剂层的原始厚度之比为1/100至1/10。
前面概述了几个实施方式或示例的特征,以便本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应该理解,他们可以容易地将本公开用作设计或修改其他过程和结构的基础,以进行与本文介绍的实施方式或示例相同的目的和/或实现相同的优点。本领域技术人员还应该认识到,此类等同构造不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,他们可以在此进行各种改变、替换和变更。

Claims (10)

1.一种用于制造半导体器件的方法,所述方法包括:
在基板上方形成光致抗蚀剂层;
在所述光致抗蚀剂层上方形成脱水膜;
使所述光致抗蚀剂层选择性地暴露于光化辐射,以形成所述光致抗蚀剂层的暴露部分和未暴露部分;以及
使所述光致抗蚀剂层显影以去除所述光致抗蚀剂层的所述未暴露部分和位于所述光致抗蚀剂层的所述未暴露部分上方的所述脱水膜的第一部分。
2.根据权利要求1所述的方法,还包括通过使用所述光致抗蚀剂层的所述暴露部分作为掩模来蚀刻所述基板。
3.根据权利要求1所述的方法,还包括去除所述光致抗蚀剂层的所述暴露部分和位于所述光致抗蚀剂层的所述暴露部分上方的所述脱水膜的第二部分。
4.根据权利要求1所述的方法,其中所述形成脱水膜包括在80℃至150℃范围内的温度下加热所述光致抗蚀剂层的表面。
5.根据权利要求1所述的方法,其中所述形成脱水膜包括将氧化剂施加至所述光致抗蚀剂层的表面。
6.根据权利要求1所述的方法,其中所述形成脱水膜包括将有机溶剂蒸气施加至所述光致抗蚀剂层的表面。
7.根据权利要求1所述的方法,其中所述形成脱水膜包括使所述光致抗蚀剂层的表面暴露于紫外线辐射。
8.根据权利要求1所述的方法,其中所述形成脱水膜包括以下项中的至少两个:在80℃至150℃范围内的温度下加热所述光致抗蚀剂层的表面,将氧化剂施加至所述光致抗蚀剂层的表面,将有机溶剂蒸气施加至所述光致抗蚀剂层的表面,以及使所述光致抗蚀剂层的表面暴露于紫外线辐射。
9.根据权利要求1所述的方法,还包括在形成光致抗蚀剂层之前在所述基板上方形成要图案化的层,其中所述要图案化的层由与所述基板不同的材料形成。
10.根据权利要求1所述的方法,其中所述脱水膜的厚度与所形成的所述光致抗蚀剂层的原始厚度之比为1/100至1/10。
CN202110434939.9A 2020-06-18 2021-04-22 光致抗蚀剂层脱气防止 Pending CN113359392A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063041058P 2020-06-18 2020-06-18
US63/041,058 2020-06-18
US17/156,365 2021-01-22
US17/156,365 US12002675B2 (en) 2020-06-18 2021-01-22 Photoresist layer outgassing prevention

Publications (1)

Publication Number Publication Date
CN113359392A true CN113359392A (zh) 2021-09-07

Family

ID=77525317

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110434939.9A Pending CN113359392A (zh) 2020-06-18 2021-04-22 光致抗蚀剂层脱气防止

Country Status (5)

Country Link
US (1) US12002675B2 (zh)
KR (1) KR20210157304A (zh)
CN (1) CN113359392A (zh)
DE (1) DE102021101893A1 (zh)
TW (1) TWI790594B (zh)

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US5366852A (en) * 1992-09-21 1994-11-22 Shipley Company, Inc. Methods for treating photoresists
JPH075695A (ja) * 1993-03-16 1995-01-10 Japan Synthetic Rubber Co Ltd レジスト表面の処理方法
KR19980040600A (ko) * 1996-11-29 1998-08-17 배순훈 패턴 형성 방법
US6096484A (en) * 1997-10-15 2000-08-01 Kabushiki Kaisha Toshiba Pattern forming method using chemically amplified resist and apparatus for treating chemically amplified resist
CN1350321A (zh) * 2000-10-06 2002-05-22 株式会社日立制作所 半导体集成电路器件的制造方法
US20040185349A1 (en) * 2003-03-19 2004-09-23 Micron Technology, Inc. Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US20080032239A1 (en) * 2006-08-02 2008-02-07 Masayuki Endo Pattern formation method
US20090104560A1 (en) * 2007-10-17 2009-04-23 Masayuki Endo Barrier film material and pattern formation method
US20120088193A1 (en) * 2010-10-06 2012-04-12 Applied Materials, Inc. Radiation Patternable CVD Film
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US20150056555A1 (en) * 2013-08-22 2015-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and Method of Formation and Use
US20160349612A1 (en) * 2015-05-27 2016-12-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, chemically amplified resist composition, and patterning process
CN106662816A (zh) * 2014-07-08 2017-05-10 东京毅力科创株式会社 负性显影剂相容性的光致抗蚀剂组合物及使用方法
US20170186614A1 (en) * 2015-12-23 2017-06-29 Samsung Electronics Co., Ltd. Method of forming semiconductor device using selective deposition layer and related device
TW201807491A (zh) * 2016-04-28 2018-03-01 東洋合成工業股份有限公司 抗蝕劑組成物和使用它的裝置的製造方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
WO2019241402A1 (en) * 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
WO2020050035A1 (ja) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 レジスト膜の製造方法
US20200133131A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme Ultraviolet Photolithography Method with Infiltration for Enhanced Sensitivity and Etch Resistance

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020071995A1 (en) 1999-04-16 2002-06-13 Montgomery Melvin Warren Photoresist topcoat for deep ultraviolet (DUV) direct write laser mask fabrication
US6727047B2 (en) 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US7192841B2 (en) * 2002-04-30 2007-03-20 Agency For Science, Technology And Research Method of wafer/substrate bonding
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
EP3997516A4 (en) * 2019-07-12 2023-08-02 Inpria Corporation STABILIZED INTERFACES OF INORGANIC RADIATION STRUCTURING COMPOSITIONS ON SUBSTRATES
US11705332B2 (en) * 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11784046B2 (en) * 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US5366852A (en) * 1992-09-21 1994-11-22 Shipley Company, Inc. Methods for treating photoresists
JPH075695A (ja) * 1993-03-16 1995-01-10 Japan Synthetic Rubber Co Ltd レジスト表面の処理方法
KR19980040600A (ko) * 1996-11-29 1998-08-17 배순훈 패턴 형성 방법
US6096484A (en) * 1997-10-15 2000-08-01 Kabushiki Kaisha Toshiba Pattern forming method using chemically amplified resist and apparatus for treating chemically amplified resist
CN1350321A (zh) * 2000-10-06 2002-05-22 株式会社日立制作所 半导体集成电路器件的制造方法
US20040185349A1 (en) * 2003-03-19 2004-09-23 Micron Technology, Inc. Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
US20080032239A1 (en) * 2006-08-02 2008-02-07 Masayuki Endo Pattern formation method
US20090104560A1 (en) * 2007-10-17 2009-04-23 Masayuki Endo Barrier film material and pattern formation method
US20120088193A1 (en) * 2010-10-06 2012-04-12 Applied Materials, Inc. Radiation Patternable CVD Film
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US20150056555A1 (en) * 2013-08-22 2015-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and Method of Formation and Use
CN106662816A (zh) * 2014-07-08 2017-05-10 东京毅力科创株式会社 负性显影剂相容性的光致抗蚀剂组合物及使用方法
US20160349612A1 (en) * 2015-05-27 2016-12-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, chemically amplified resist composition, and patterning process
US20170186614A1 (en) * 2015-12-23 2017-06-29 Samsung Electronics Co., Ltd. Method of forming semiconductor device using selective deposition layer and related device
TW201807491A (zh) * 2016-04-28 2018-03-01 東洋合成工業股份有限公司 抗蝕劑組成物和使用它的裝置的製造方法
WO2018179704A1 (ja) * 2017-03-27 2018-10-04 Jsr株式会社 パターン形成方法
WO2019241402A1 (en) * 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
WO2020050035A1 (ja) * 2018-09-05 2020-03-12 東京エレクトロン株式会社 レジスト膜の製造方法
US20200133131A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme Ultraviolet Photolithography Method with Infiltration for Enhanced Sensitivity and Etch Resistance

Also Published As

Publication number Publication date
DE102021101893A1 (de) 2021-12-23
TW202201131A (zh) 2022-01-01
TWI790594B (zh) 2023-01-21
US20220028684A1 (en) 2022-01-27
US12002675B2 (en) 2024-06-04
KR20210157304A (ko) 2021-12-28

Similar Documents

Publication Publication Date Title
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
CN113376960A (zh) 制造半导体器件的方法和图案形成方法
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI796661B (zh) 半導體裝置之製造方法
US12002675B2 (en) Photoresist layer outgassing prevention
US20230375920A1 (en) Method of manufacturing a semiconductor device
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination