TW202133394A - 具有可編程反熔絲特徵之半導體裝置及其製造方法 - Google Patents

具有可編程反熔絲特徵之半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202133394A
TW202133394A TW109135361A TW109135361A TW202133394A TW 202133394 A TW202133394 A TW 202133394A TW 109135361 A TW109135361 A TW 109135361A TW 109135361 A TW109135361 A TW 109135361A TW 202133394 A TW202133394 A TW 202133394A
Authority
TW
Taiwan
Prior art keywords
gate
insulating layer
semiconductor device
disposed
conductive layer
Prior art date
Application number
TW109135361A
Other languages
English (en)
Inventor
黃慶玲
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202133394A publication Critical patent/TW202133394A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本案揭露一種半導體裝置及其製造方法。該半導體裝置包括:一基底;位於該基底上的一尖端特徵區域;位於該尖端特徵區域和該基底上的一閘極絕緣層;位於該閘極絕緣層上的一閘極底導電層;以及位於該基底中的一第一摻雜區,且該第一摻雜區鄰近於該閘極絕緣層的一端。

Description

具有可編程反熔絲特徵之半導體裝置及其製造方法
本申請案主張2020年2月19日申請之美國正式申請案第16/794,817號的優先權及益處,該美國正式申請案之內容以全文引用之方式併入本文中。
本揭露係關於一種半導體裝置及其製造方法。更具體地,一種具有可編程反熔絲特徵之半導體裝置及其製造方法。
半導體裝置被用於各種電子設備之應用當中,例如個人電腦、手機、數位相機和其他電子設備。為滿足對計算能力不斷增長的需求,半導體裝置的尺寸不斷地縮小。然而,半導體裝置微型化的過程使其製造方面遭遇著各種問題,這些問題將影響半導體裝置最終的電特性、品質和產率。因此,在提高半導體裝置的性能、質量、良率和可靠性以及降低複雜度等方面仍然面臨挑戰。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露之一實施例揭示一種半導體裝置,該半導體裝置包括:一基底;一尖端特徵區域設置於該基底上;一閘極絕緣層設置於該尖端特徵區域與該基底上;一閘極底導電層設置於該閘極絕緣層上;以及一第一摻雜區域設置於基底內且相鄰於該閘極絕緣層的一端。
在本揭露之一些實施例中,該閘極絕緣層包括一覆蓋區和兩個平坦區,該覆蓋區設置於該尖端特徵區域上,該兩個平坦區與該覆蓋區的兩側相鄰且設置於該基底上,該兩個平坦區的厚度大於或等於該覆蓋區的厚度。
在本揭露之一些實施例中,該半導體裝置包括複數個第一隔離結構設置於該基底內,該複數個第一隔離結構在該基底內定義一第一主動區域,該第一摻雜區域位於該第一主動區域內。
在本揭露之一些實施例中,該半導體裝置包括複數個閘極間隙壁設置於該閘極底導電層的側壁和該閘極絕緣層的側壁上。
在本揭露之一些實施例中,該半導體裝置包括一第一微摻雜區域設置於該第一主動區域內且與該閘極絕緣層的一端相鄰。
在本揭露之一些實施例中,該半導體裝置包括一閘極頂導電層和一第一導電層,該閘極頂導電層設置於該閘極底導電層上,該第一導電層設置於該第一摻雜區域上,該閘極頂導電層的厚度介於約2奈米至約20奈米之間,該第一導電層的厚度介於約2奈米至約20奈米之間。
在本揭露之一些實施例中,該半導體裝置包括一第一接觸插塞和一第二接觸插塞,該第一接觸插塞設置於該閘極頂導電層上,該第二接觸插塞設置於該第一導電層上。
在本揭露之一些實施例中,該半導體裝置包括一第一絕緣層和一第二絕緣層,該第一絕緣層設置於該基底上,該第二絕緣層設置於該第一絕緣層上,該第一接觸插塞部設置於該第二絕緣層內,該第二接觸插塞穿設該第二絕緣層與該第一絕緣層。
在本揭露之一些實施例中,該半導體裝置包括一第一障壁層設置於該第一接觸插塞與該第二絕緣層之間以及設置於該第一接觸插塞與該閘極頂導電層之間。
在本揭露之一些實施例中,該半導體裝置包括一第一蝕刻阻擋層設置於該第一絕緣層和該第二絕緣層之間。
在本揭露之一些實施例中,該半導體裝置包括一第一應力區域設置於該第一摻雜區域和該第二接觸插塞之間。
在本揭露之一些實施例中,該第二絕緣層的孔隙率介於約10%至約50%之間,且該尖端特徵區域包括磊晶矽。
本揭露之另一實施例提供一半導體裝置,包括:一基底;一第一井區域該基底內;一第一隔離結構位於該第一井區域內;一第二隔離結構和一第三隔離結構分別設置於該第一井區域的兩側;一尖端特徵區域;一閘極絕緣層;一閘極底導電層;一摻雜區域;一第一接觸插塞;以及一第二接觸插塞。該第一隔離結構和該第二隔離結構於該基底內定義一第一主動區域,該第一隔離結構和該第三隔離結構於該基底內定義一第二主動區域,該第二主動區域與該第一主動區域相對,且該第一隔離結構介於該第一主動區域和該第二主動區域之間。該尖端特徵區域設置於該第一主動區域上。該閘極絕緣層設置於該尖端特徵區域以及該基底上。該閘極底導電層設置於該閘極絕緣層上。該摻雜區域設置於該第二主動區域內。該第一接觸插塞設置於該閘極底導電層上方。該第二接觸插塞設置於該摻雜區域上方。
在本揭露之一些實施例中,該第一隔離結構的深度小於該第二隔離結構的深度或該第三隔離結構的深度。
本揭露之另一實施例揭示一種半導體裝置的製造方法,該半導體裝置的製造方法包括:提供一基底;形成一尖端特徵區域於該基底上;形成一閘極絕緣層於該尖端特徵區域與該基底上;形成一閘極底導電層於該閘極絕緣層上;形成一第一摻雜區域於該基底內並相鄰於該閘極絕緣層之一端。
在本揭露之一些實施例中,該半導體裝置之製造方法還包括:形成複數個第一隔離結構於該基底內,該複數個第一隔離結構定義一第一主動區域,且該第一摻雜區域形成於該第一主動區域內。
在本揭露之一些實施例中,該半導體裝置之製造方法還包括:形成一閘極頂導電層於該閘極底導電層上。
在本揭露之一些實施例中,在該閘極底導電層上形成該閘極頂導電層包括:在該閘極底導電層上方形成一第二導電材料之一層;於該半導體裝置上執行一熱處理製程;於該半導體裝置上執行一清洗製程。該第二導電材料包括鈦、鎳、鉑、鉭或鈷。
在本揭露之一些實施例中,該熱處理製程為一動態表面退火程序。
由於本揭露之半導體裝置的設計,位於該閘極絕緣層之破損點可輕易地被侷限於鄰近該尖端特徵區之頂端處。因此,該半導體裝置之編程可靠性將得以提升。此外,該第一應力區域之存在將增加該半導體裝置之載流子遷移率。藉此,將可改善該半導體裝置之效能表現。
上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。
本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露之實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。
「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。
為了使得本揭露可被完全理解,以下說明提供詳細的步驟與結構。顯然,本揭露的實施不會限制該技藝中的技術人士已知的特定細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了詳細說明之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於詳細說明的內容,而是由申請專利範圍定義。
於本揭露中,用於修飾成分(ingredient)、部件(component)、反應物的量(quantity )之術語「約」或「約當」係指例如通過用於製備濃縮物或溶液的典型測量和液體處理程序可能發生的數值變化。此外,變化亦可能源自量測程序中的非故意失誤、製造組合物或實施方法時等情況中所使用之成分的製造、來源或純度上之差異。在一些方面,術語「約」或「約當」係指所示數值10%以內之變化.在另一些方面,術語「約」或「約當」係指所示數值5%以內之變化.在其他方面,術語「約」或「約當」係指所示數值10%、9%、8%、7%、6%、5%、4%、3%、2%或 1%以內之變化。
需要注意的是,在本揭露的描述中,上方(或上方)對應於方向Z的箭頭方向,下方(或下方)對應於相反的方向。
於本揭示中,一元件或特徵之表面位於沿Z方向之垂直高度(vertical level)最高者係為該元件或該特徵之頂面。一元件或特徵之表面位於沿Z方向之垂直高度最低者係為該元件或該特徵之底面。
在本揭露中,半導體裝置通常是指可以通過利用半導體特性來起作用的裝置。如電光裝置、發光顯示裝置、半導體電路和電子裝置都將包括在半導體裝置之類別中。
圖1為剖面圖,例示本揭露於一實施例中之半導體裝置10A。圖2為剖面圖,例示本揭露於一實施例於編程過程之半導體裝置10A。
參照圖1,在所示的實施例中,該半導體裝置10A包含一基底101、複數個第一隔離結構103、一尖端特徵區域107、一閘極絕緣層201、一閘極底導電層203、複數個閘極間隙壁、一閘極頂導電層211、一第一微摻雜區域301、一第一摻雜區域303、一第一導電層305、一第一接觸插塞401、一第二接觸插塞403、一第一絕緣層501與一第二絕緣層503。
參照圖1,該基底101或由下列材料所形成:矽(silicon)、鍺(germanium)、矽鍺(silicon germanium)、矽碳(silicon carbon)、矽鍺碳(silicon germanium carbon)、鎵(gallium)、砷化鎵(gallium arsenic)、砷化銦(indium arsenic)、磷化銦(indium phosphorus)或是其他IV-IV族、III-V族、II-VI族半導體材料。該基底101具有第一晶格常數(lattice constant)與晶向(crystal orientation)<100>。在本揭露之一些實施例中,該基底101包含一有機半導體或一層狀堆疊半導體如矽/矽鍺、絕緣層上覆矽(silicon-on-insulator)或絕緣層上覆矽鍺(silicon germanium-on-insulator)。當該基底101由絕緣層上覆矽所形成時,該基底101包含由矽構成之一頂部半導體層與一底部半導體層以及一掩埋絕緣層,該掩埋絕緣層將分隔該頂部半導體層與該底部半導體層。該掩埋絕緣層係由結晶氧化物(crystalline oxide)、非晶氧化物(non-crystalline oxide)、氮化物(nitride)、或其組合所形成。
參照圖1,該複數個第一隔離結構103設置於該基底101內。該複數個第一隔離結構103於該基底101內定義一第一主動區域105。該複數個第一隔離結構103由一種絕緣材料所形成,如氧化矽(silicon oxide)、氮化矽氮(silicon nitride)、氧化矽(silicon oxynitride)、氧化氮化矽(silicon nitride oxide)或摻雜氟的矽酸鹽(fluoride-doped silicate)。
該第一主動區域105包含該基底101之部分以及其上方的空間,當描述一元件於該第一主動區域105上意指該元件設置於該基底101之部分的頂面上;當描述一元件於該第一主動區域105內意指該元件設置於該基底101之部分內,然而,該元件頂面可能與該基底101之頂面等高;當描述一元件於該第一主動區域105上方意指該元件設置於該基底101之部分的頂面上方。
在本揭露中,氮氧化矽係指一包含矽、氮及氧之物質,其中氧的比例大於氮的比例。氧化氮化矽係指一包含矽、氮及氧之物質,其中氮的比例大於氧的比例。
參照圖1,該第一絕緣層501設置於該基底101上,該第二絕緣層503設置於第一絕緣層501上,該第一絕緣層501與該第二絕緣層503由下列材料所形成:氮化矽、氧化矽、氮氧化矽、可流動氧化物(flowable oxide)、東燃矽氮烷(tonen silazen)、未摻雜矽酸鹽(undoped silica glass)、玻璃硼矽酸鹽玻璃(borosilica glass)、磷矽酸鹽玻璃(phosphosilica glass)、硼磷矽酸鹽玻璃(borophosphosilica glass)、電漿修飾四乙氧基矽烷(plasma-enhanced tetra-ethyl orthosilicate)、氟矽酸鹽玻璃(fluoride silicate glass)、碳摻雜氧化矽(carbon-doped silicon oxide)、無定形氟化碳(amorphous fluorinated carbon)、有機矽酸鹽玻璃(organo silicate glass)、或其組合,但並不以此為限。該第一絕緣層501與該第二絕緣層503係由不同材料所形成,但並不以此為限。
在本揭露之一些實施例中,該第一絕緣層501與該第二絕緣層503具有約10%至約50%之孔隙率(porosity)。該第一絕緣層501與該第二絕緣層503係由一可藉由能量移除之材料(energy-removable material)所形成(將於後續說明)。該第一絕緣層501與該第二絕緣層503包含一骨架與散佈於骨架中之複數個空乏間隙(empty space),該複數個空乏間隙係彼此相連並充滿空氣。該骨架包含氧化矽或甲基矽倍半氧烷(methylsilsesquioxane),該第一絕緣層501與該第二絕緣層503之複數個空乏間隙係充滿空氣,藉此,該第一絕緣層501與該第二絕緣層503之介電常數將顯著低於單純由氧化矽所形成之絕緣層,因此,該第一絕緣層501與該第二絕緣層503可顯著降低設置於其中之相鄰的導電特徵部件之寄生電容(parasitic capacitance),意即,具有介於約10%至約50%孔隙率之該第一絕緣層501與該第二絕緣層503將顯著減輕由應用於該半導體裝置10A的電訊號之間造成的干擾或由應用於該半導體裝置10A的電訊號之間所誘發的干擾。
該可藉由能量移除之材料包括熱分解材料、光分解材料、電子束分解材料、或其組合。舉例來說,該可藉由能量移除之材料包括基材(base material)與可分解之致孔劑(decomposable porogen),該可分解之致孔劑可藉由暴露於能量源下被移除,該能量源包含光、熱、或其組合。該基材包括以甲基倍半矽氧烷基為底之材料或氧化矽。該可分解之致孔劑包括一有機致孔化合物(porogen organic compound),該有機致孔化合物將賦予孔隙率於該可藉由能量移除之材料的基材。在本揭露之一些實施例中,可藉由能量移除之材料包括約50%或以上之基材與約50%或以下之可分解之致孔劑;在本揭露之一些實施例中,可藉由能量移除之材料包含約90%或以上之基材與約10%或以下之可分解之致孔劑。
參照圖1,該尖端特徵區域107構成於該第一主動區105上並位於該第一絕緣層501中,該尖端特徵區域107之剖面呈現三角形,並包括一第一刻面(first faceted plane)107-1與一第二刻面(second faceted plane)107-3,該第一刻面107-1與第二刻面107-3彼此交錯,該基底101之頂面與該第一刻面107-1間之夾角約50度至約60度。該第一刻面107-1與該第二刻面107-3具有晶向<111>。在本揭露之一些實施例中,該尖端特徵區域107之剖面呈鑽石形、五邊形或多於五邊之多邊形,該尖端特徵區域107係由例如矽、鍺、矽鍺、矽碳、矽鍺碳、鎵、砷化鎵、砷化銦、磷化銦和其他IV-IV族、III-V族或II-VI族半導體材料等所形成。
參照圖1,該閘極絕緣層201設置於該尖端特徵區域107與該基底101上,該閘極絕緣層201設置於該第一絕緣層501內,該閘極絕緣層201包括一覆蓋區201-1與兩個平坦區201-3,該覆蓋區201-1設置於該第一刻面107-1與該第二刻面107-3上,該兩個平坦區201-3分別與該覆蓋區201-1之兩端相連接,該兩個平坦區201-3設置於該基底101上,該兩個平坦區201-3中之一者的部分設置於該複數個第一隔離結構103中之一者上,該兩個平坦區201-3之厚度大於或等於該覆蓋區201-1之厚度。在本揭露之一些實施例中,兩個平坦區201-3之厚度大於該覆蓋區201-1之厚度。
在本揭露之一些實施例中,該閘極絕緣層201由氧化矽所形成。在本揭露之一些實施例中,該閘極絕緣層201係由一高介電材料如金屬氧化物(metal oxide)、金屬氮化物(metal nitride)、金屬矽酸鹽(metal silicate)、過渡金屬氧化物(transition metal-oxide)、過渡金屬氮化物(transition metal-nitride)、過渡金屬矽酸鹽(transition metal-silicate)、金屬氮氧化物(oxynitride of metal)、金屬鋁酸鹽(metal aluminate)、矽酸鋯(zirconium silicate)、鋁酸鋯(zirconium aluminate)、或其組合所形成;更具體地,該閘極絕緣層201係由氧化鉿(hafnium oxide)、矽酸鉿(hafnium silicon oxide)、氮氧化矽鉿(hafnium silicon oxynitride)、氧化鉿鉭(hafnium tantalum oxide)、氧化鉿鈦(hafnium titanium oxide)、鋯酸鉿(hafnium zirconium oxide)、氧化鑭鉿(hafnium lanthanum oxide)、氧化鑭(lanthanum oxide)、氧化鋯(zirconium oxide)、氧化鈦(titanium oxide)、氧化鉭(tantalum oxide)、氧化釔(yttrium oxide)、氧化鈦鍶(strontium titanium oxide)、氧化鈦鋇(barium titanium oxide)、氧化鋯鋇(barium zirconium oxide)、矽酸鑭(aluminum silicon oxide)、矽酸鋁(aluminum silicon oxide)、氧化鋁(aluminum oxide)、氮化矽、氮氧化矽、氧化氮化矽、或其組合所形成。在本揭露之一些實施例中,該閘極絕緣層201係由多層結構組成,如一氧化矽層與一高介電層之多層結構。
參照圖1,該閘極底導電層203設置於該閘極絕緣層201上並位於該第一絕緣層501內,該閘極底導電層203係由一導電材料所形成,該導電材料係為多晶矽(polycrystalline silicon)、多晶矽鍺(polycrystalline silicon germanium)、或其組合。在本揭露之一些實施例中,該閘極底導電層203係以一摻質(dopant)摻雜,該摻質係為磷(phosphorus)、砷(arsenic)、銻(antimony)或硼(boron)。
參照圖1,該複數閘極間隙壁設置於該閘極底導電層203與該閘極絕緣層201之側壁上,該複數閘極間隙壁設置於該第一絕緣層501內,該複數閘極間隙壁包括一第一閘極間隙壁205與一第二閘極間隙壁207,該第一閘極間隙壁205設置於該第一主動區105上,且該第一閘極間隙壁205貼設於該閘極底導電層203之一側壁與該兩個平坦區201-3中之另一者的側壁上,該第二閘極間隙壁207設置於該複數個第一隔離結構103中之一者上,且該第二閘極間隙壁207貼設於該閘極底導電層203之另一側壁與該兩個平坦區201-3中之一者的側壁上,該複數個閘極間隙壁係由氮化矽、氧化矽、或其類似物所形成。
參照圖1,該閘極頂導電層211設置於該閘極底導電層203上,並位於該第一絕緣層501內,該閘極頂導電層211之厚度約2奈米至約20奈米,該閘極頂導電層211係由鈦矽化物(titanium silicide)、鎳矽化物(nickel silicide)、鎳鉑矽化物(nickel platinum silicide)、鉭矽化物(tantalum silicide)、或鈷矽化物(cobalt silicide)所形成。
參照圖1,該第一微摻雜區域301設置於該第一閘極間隙壁205下並位於該第一主動區域105內,該第一微摻雜區域301與該複數個第一隔離結構103中之一者相對。在本揭露之一些實施例中,該第一微摻雜區域301係以一摻質摻雜,該摻質係為磷、砷或銻,該第一微摻雜區域301  具有第一種電特性。在本揭露之一些實施例中,第一微摻雜區域301係以一摻質摻雜,該摻質係為硼,該第一微摻雜區域301具有具有第二種電特性。
參照圖1,該第一摻雜區域303設置於該第一主動區105內,並相鄰於該第一微摻雜區域301,該第一摻雜區域303設置於該第一微摻雜區域301與該複數個第一隔離結構103中之另一者之間,換言之,該第一摻雜區域303與該複數個第一隔離結構103中之一者相對。該第一摻雜區域303與該第一微摻雜區域301具有相同種之電特性,且該第一摻雜區域303係以一摻質摻雜,該摻質係為磷、砷、銻或硼。該第一摻雜區域303相較於該第一微摻雜區域301具有較高摻質濃度。
參照圖1,該第一導電層305設置於該第一摻雜區域303上,並位於該第一絕緣層501內,該第一導電層305與該閘極頂導電層211具有相同之厚度,但並不以此為限。該第一導電層305與該閘極頂導電層211係由相同材料所形成,但並不以此為限。
參照圖1,該第一接觸插塞401設置於該閘極頂導電層211上,並位於該第二絕緣層503內;該第二接觸插塞403設置於該第一導電層305上,並穿設該第二絕緣層503與該第一絕緣層501。在本揭露之一些實施例中,該第一接觸插塞401與該第二接觸插塞403之側壁呈現斜向剖面輪廓(slanted cross-sectional profile)。在本揭露之一些實施例中,該第一接觸插塞401之寬度或該第二接觸插塞403之寬度沿方向Z由底端至頂端逐漸遞增。在本揭露之一些實施例中,該第一接觸插塞401之側壁或該第二接觸插塞403之側壁具有均勻的斜率。該第一接觸插塞401與該第二接觸插塞403可用於施加一編程電壓或編程電流於該半導體裝置10A。
於該半導體裝置10A之編程過程中,一編程電壓將施加於該半導體裝置10A,一通道區域999將對應形成(如圖2所示),而一編程電流將流經該通道區域999並加熱鄰近該通道區域999之區域。於該半導體裝置10A之編程過程中,由於電場容易集中於尖銳區域,因此該尖端特徵區域107之頂點係為該尖端特徵區域107最脆弱之部分,也因該尖端特徵區域107之頂點能獲得最大電場,該閘極絕緣層201鄰近於該  尖端特徵區域107之頂點處將破裂並形成一破損點(rupture point),而該閘極絕緣層201之破損點將誘發阻值(resistance)的下降;藉此,該半導體裝置10將被熔斷而完成編程。該閘極絕緣層201之破損點的位置可輕易被限制於在編程過程具有最大電場之該尖端特徵區域107的頂點之鄰近區域;因此,該半導體裝置10A之編程過程的可靠性將得以提升。
圖3至6為剖面圖,例示本揭露於部分實施例中之半導體裝置10B、10C、10D、10E。圖7為剖面圖,例示本揭露於一實施例中編程過程之半導體裝置10E。
參照圖3,該半導體裝置10B包括一第一障壁層405、一第二障壁層407與一第一蝕刻阻擋層505。該第一障壁層405設置於該第一接觸插塞401與第二絕緣層503之間,以及設置於該第一接觸插塞401與該閘極頂端導電層211之間,於該第一接觸插塞401形成過程中,該第一障壁層405可作為一保護層用以保護其下方之結構(例如該閘極頂導電層211),該第一障壁層405亦可作為該第一接觸插塞401與該閘極頂導電層211之貼合層(adhesive layer)。
參照圖3,該第二障壁層407設置於該第二接觸插塞403與該第二絕緣層503之間,以及設置於該第二接觸插塞403與第一導電層305之間,於該第二接觸插塞403形成過程中,第二障壁層407可作為一保護層用以保護其下方之結構(例如該第一導電層305),該第第二障壁層407亦可作為該第二接觸插塞403與該第一導電層305之貼合層,該第一障壁層405與該第二障壁層407由鈦、氮化鈦、鈦矽氮化物、鉭、氮化鉭、氮化鉭矽、或其組合所形成,該第一障壁層405與該第二障壁層407係由同一材料所形成,但並不以此為限。
參照圖3,該第一蝕刻阻擋層505設置於該第一絕緣層501與該第二絕緣層503之間,該第一蝕刻阻擋層505係由碳摻雜氧化物(carbon-doped oxide)、碳複合氧化矽(carbon incorporated silicon oxide)、或氮摻雜碳化矽(nitrogen-doped silicon carbide)所形成。
參照圖4,該半導體裝置10C包括一第一應力區域307,該第一應力區域307設置於該第二接觸插塞403與該第一摻雜區域303之間,該第一應力區域307之下部部分設置於第一摻雜區域303內,該第一應力區域307之上部部分自該基底101頂面突出且設置於該第一絕緣層501內。該第一應力區域307係由矽鍺或碳化矽所形成,該第一應力區域307具有一第二晶格常數,該第二晶格常數與該基底101之第一晶格常數不同。由於該第一應力區域307之第二晶格常數與該基底101之第一晶格常數不同,因此該半導體裝置10C之載流子遷移率將得以增加,而該半導體裝置10C之效能將相應提升。
參照圖5,該半導體裝置10D包括一第三閘極間隙壁213與一第四閘極間隙壁215。該第一閘極間隙壁205與該第二閘極間隙壁207係由氧化矽、氮化矽或多晶矽所形成。該第三閘極間隙壁213設置於該第一閘極間隙壁205之側壁上並設置於該第一絕緣層501內。該第四閘極間隙壁215設置於該第二閘極間隙壁207之側壁上並設置於該第一絕緣層501內。該第三閘極間隙壁213與該第四閘極間隙壁215係由氧化矽所形成。藉由該第三閘極間隙壁213與該第四閘極間隙壁215,該第一閘極間隙壁205之厚度或該第二閘極間隙壁207之厚度將得以最小化,因而該第一摻雜區域303與該閘極底導電層203間之重疊處的電容將得以降低。
參照圖6,於該半導體裝置10E,該第二隔離結構109與該第三隔離結構111設置於該基底101內,該第一井區域113設置於該第二隔離結構109與該第一井區域113之間,該第一井區域113係以一摻質摻雜,該摻質係為磷、砷或銻,且該第一井區域113具有第一種電特性。本揭露之一些實施例中,該第一井區域113係以一摻質摻雜,該摻質係為硼,且該第一井區域113具有第二種電特性。
參照圖6,該第一隔離結構103設置於該第一井區域113內,該第一隔離結構103之深度小於該第二隔離結構109之深度或該第三隔離結構111之深度。該第一隔離結構103與該第二隔離結構109定義該第一主動區域105;該第一隔離結構103與該第三隔離結構111定義該第二主動區域115。該第二主動區域115相對於該第一主動區域105,該第一隔離結構103介於該第二主動區域115與該第一主動區域105之間。該第二隔離結構109與該第三隔離結構111之係與該第一隔離結構103由相同之材料所形成,但並不以此為限。
參照圖6,該第一絕緣層501設置於該基底101上,該第二絕緣層503設置於該第一絕緣層501上。該尖端特徵區域107設置於該第一主動區域105上。該閘極絕緣層201設置於該尖端特徵區域107與該基底101上。該閘極底導電層203設置於該閘極絕緣層201上。該第一閘極間隙壁205與該第二閘極間隙壁207分別相應設置於該閘極底導電層203之側壁與該閘極絕緣層201之側壁上。該閘極頂導電層211設置於該閘極底導電層203上。
參照圖6,該第一微摻雜區域301設置於該第一閘極間隙壁205下且設置於該第一主動區域105內,該第一微摻雜區域301與該第一隔離區域103相對。該第一摻雜區域303設置於該第一主動區域105內並相鄰於該第一微摻雜區域301,該第一摻雜區域303設置於該第一微摻雜區域301與該第二隔離結構109之間,該第一摻雜區域303與該第一隔離結構103相對。該第一微摻雜區域301、該第一摻雜區域303以及該第一井區域113或具有相同種之電特性。
參照圖6,該第一導電層305設置於該第一摻雜區域303上,該第二摻雜區域309設置於該第二主動區域115內,該第二摻雜區域309相對於該第一主動區域105,且該第一隔離結構103介於該第二摻雜區域309與該第一主動區域105之間,該第二摻雜區域309係以一摻質摻雜,該摻質係為磷、砷或銻,且該第二摻雜區域309具有第一種電特性。在本揭露之一些實施例中,該第二摻雜區域309係以一摻質摻雜,該摻質係為硼,且該第二摻雜區域309具有第一種電特性。該第二摻雜區域309與該第一摻雜區域303或該第一井區域113具有相同種電特性。該第二導電層311設置於該第二摻雜區域309上並設置於該第一絕緣層501內,該第二導電層311與該閘極頂導電層211具有相同之厚度。該第二導電層311與該閘極頂導電層211係由相同材料所形成。
參照圖6,該第一接觸插塞401設置於該閘極頂導電層211上且相鄰於該第一閘極間隙壁205。該第二接觸插塞403設置於該第二導電層311上。換言之,該第一接觸插塞401與該第二接觸插塞403分別設置於該第一隔離結構103之兩側。
參照圖7,於該半導體裝置10E編程過程中,該編程電流將流經該第一接觸插塞401與第二接觸插塞403之間,然而,該第一接觸插塞401與該第二接觸插塞403設置於該第一隔離結構103之兩側(例如該第一面103-1與該第二面103-3),因此,該通道區域999之編程電流將自鄰近於該第一隔離結構103之第一面103-1的第一主動區域105沿著該第一隔離結構103之底面延伸至鄰近於該第一隔離結構103之第二面103-3的該第二主動區域115。其結果是,該通道區域999由編程電流所產生之熱將增加,並藉此能減少對該半導體裝置10E準確地編程所需的時間。另外,由於該第一井區域113之摻雜縱深可被良好的定義且控制,使該第一井區域113之阻值呈現一致;故,該半導體裝置10E於編程過程中可更穩定產熱,因此該半導體裝置10E於編程過程後阻值之變異(variation)將得以減小。
需要注意的是,在該半導體裝置10E編程過程中,由於該第一微摻雜區域301與該第一摻雜區域303的存在,該通道區域999可能延伸至該第一微摻雜區域301。
需要注意的是,“形成”一詞表示任何創造、建立、圖案化、植入或沈積一元素、一摻質或一材料的方法。舉例來說包括原子層沈積、化學氣相沈積、物理氣相沈積、濺鍍、共濺鍍、旋轉塗布、擴散、沈積、長晶、植入、微影、乾式蝕刻與濕式蝕刻等方法,但不以此為限。
圖8為流程圖,例示本揭露於一實施例中之半導體裝置10A的製造方法100。圖9至圖20為剖面圖,例示本揭露於一實施例中半導體裝置10A的製造方法。
參照圖8與圖9,於步驟S11,在所示的實施例中,提供一基底101並形成複數個第一隔離結構103於該基底101內。執行一系列沈積製程,以形成一氧化連接墊層(未揭示於圖9)與一氮化連接墊層(未揭示於圖9)於該基板101上。執行一微影製程以定義該複數個第一隔離結構103之位置,於該微影製程後,執行一蝕刻製程以形成複數個溝渠,該複數個溝渠係穿透該氧化連接墊層、該氮化連接墊層和該基底101,該蝕刻製程係為非等向性乾式蝕刻。沈積一絕緣材料於該複數個溝渠內,且接著執行一平坦化製程直至該基底101暴露以將多餘填料移除,該平坦化製程例如化學機械研磨。該絕緣材料係為氧化矽、氮化矽、氧化氮化矽、氮氧化矽或摻雜氟的矽酸鹽。
參照圖8、圖9和圖10,於步驟S13,在所示的實施例中,形成一尖端特徵區域107於該基底101上。參照圖9,執行一微影製程以定義該尖端特徵區域107之位置,該微影製程以一第一遮罩層601作為遮罩;於圖案化該第一遮罩層601後,該基底101之部分頂面將被暴露。參照圖10,該尖端特徵區域107係為磊晶矽,其係藉由一磊晶製程形成於該基底101頂面暴露之部分。於該磊晶製程後,該第一遮罩層601將被移除。
參照圖8、圖11和圖12,於步驟S15,在所示的實施例中,形成一閘極絕緣層201與一閘極底導電層203於該基底101的上方。參照圖11,形成一第一絕緣材料701之一層以覆蓋該尖端特徵區域107與該基底101之頂面。形成一第一導電材料703之一層於該第一絕緣材料701之該層上。執行一微影製程以定義該閘極絕緣層201與該閘極底導電層203之位置,該微影製程以一第二遮罩層603作為遮罩。該第一絕緣材料701係為氧化矽。該第一導電材料703係為一種如多晶矽、多晶矽鍺、或其組合之導電材料。於該微影製程後,執行一蝕刻製程以移除部分之第一絕緣材料701與部分之第一導電材料703,並同時形成該閘極絕緣層201與該閘極底導電層203,該蝕刻製程係為非等向性乾式蝕刻。於該蝕刻製程後,該第二遮罩層603將被移除。
參照圖8與圖13至圖16,於步驟S17,在所示的實施例中,形成一第一微摻雜區域301與一第一摻雜區域303於該基底101內,並形成複數個閘極間隙壁於該閘極絕緣層201之側壁與該閘極底導電層203之側壁。參照圖13,執行一使用一第三遮罩層605之微影製程以遮罩該基底101頂面的部分區域,僅有該第一主動區105之部分與該閘極底導電層203之頂面被暴露。於微影製程後,執行一植入製程以在該第一主動區105內形成該第一微摻雜區域301。於該植入製程後,該第三遮罩層605將被移除。
參照圖14,形成一間隙壁材料705之一層以覆蓋於該基底101之頂面、該閘極絕緣層201之側壁、該閘極底導電層203之側壁與該閘極底導電層203之頂面。參照圖15,執行一蝕刻製程以移除部分間隙壁材料705,並同時地形成複數個閘極間隙壁,該蝕刻製程係為非等向性乾式蝕刻。該複數個閘極間隙壁包括一第一閘極間隙壁205與一第二閘極間隙壁207,該第一閘極間隙壁205與該第二閘極間隙壁207分別形成於該閘極絕緣層201之側壁與該閘極底導電層203之側壁。該間隙壁材料705係為氧化矽、氮化矽、或其類似物。
參照圖16,執行一使用一第四遮罩層607之微影製程以遮罩該複數個間隙壁、該閘極底導電層203之頂面與該基底101之頂面的部分,僅有該第一主動區105之部分被暴露。於微影製程後,執行一植入製程以在該第一主動區105內形成該第一摻雜區域303。該植入製程後,該第四遮罩層607將被移除。執行一退火製程以激活該第一微摻雜區域301與該第一摻雜區域303,該退火製程之溫度介於約攝氏800度至約攝氏1250度,該退火製程之時間介於約1毫米至約500毫秒,該退火製程包括高速熱退火(rapid thermal anneal)、雷射尖峰退火(laser spike anneal)或閃燈熱退火(flash lamp anneal)。
參照圖8、圖17與圖18,於步驟S19,在所示的實施例中,形成一閘極頂導電層211於該閘極底導電層203上,以及形成一第一導電層305於該第一摻雜區域303上。參照圖17,形成一第二導電材料707之一層於該基底101、該複數個閘極間隙壁與該閘極底導電層203上,該第二導電材料707係為鈦、鎳、鉭或鈷。參照圖18,執行一熱處理製程,於該熱處理製程中,金屬層中之金屬原子將分別與該閘極底導電層203與該第一摻雜區域303中之矽原子發生化學反應,以分別形成該閘極頂導電層211與該第一導電層305。該閘極頂導電層211與該第一導電層305包括鈦矽化物、鎳矽化物、鎳鉑矽化物、鉭矽化物、或鈷矽化物。該熱處理製程係為一動態表面退火製程(dynamic surface annealing process)。於該熱處理製程後,執行一清洗製程以移除未反應之第二導電材料707,該清洗製程係採用如過氧化氫或SC-1溶液等蝕刻劑。
參照圖8、圖19與圖20,於步驟S21,在所示的實施例中,形成一第一絕緣層501、一第二絕緣層503、一第一接觸插塞401與一第二接觸插塞403於該基底101上方。參照圖19,沉積一絕緣材料之一層於該基底101、該第一導電層305、該複數個閘極間隙壁以及該閘極頂導電層211上方。執行一平坦化製程直至該閘極頂導電層211之頂面被暴露以形成該第一絕緣層501,該平坦化製程係為化學機械研磨。隨後,藉由化學氣相沈積於該第一絕緣層501上方沉積該第二絕緣層503。
參照圖20,執行一第一微影製程以定義該第一接觸插塞401之位置。於該第一微影製程後,執行一第一蝕刻製程以形成一第一接觸插塞開口於該第二絕緣層503內,該第一蝕刻製程係為非等向性乾式蝕刻。藉由一金屬化製程以沉積一導電材料於該第一接觸插塞開口。於該金屬化製程後,執行一平坦化製程以移除多餘填料並為後續製程提供平坦表面,且同時形成該第一接觸插塞401,該平坦化製程係為化學機械研磨。
參照圖20,執行一第二微影製程以定義該第二接觸插塞403之位置。於該第二微影製程後,執行一第二蝕刻製程以形成一第二接觸插塞開口,該第二接觸插塞開口穿過該第二絕緣層503與第一絕緣層501,該第二蝕刻製程係為非等向性乾式蝕刻。藉由一金屬化製程以沉積一導電材料於該第二接觸插塞開口。於該金屬化製程後,執行一平坦化製程以移除多餘填料並為後續製程提供平坦表面,且同時形成該第二接觸插塞403,該平坦化製程係為化學機械研磨。
圖21至圖24為剖面圖,例示本揭露於另一實施例中半導體裝置之尖端特徵區域107的製造方法。
參照圖21,以類似於圖9之程序製作一半導體裝置之半成品。以化學氣相沈積、原子層沈積或磊晶製程沉積一半導體材料709之一層於該基底101頂面,該半導體材料709係為矽、矽碳、矽鍺、矽鍺碳、鍺、鎵、砷化鎵、砷化銦、磷化銦、或其類似物。形成一第五遮罩層609於該半導體材料709之該層上方,並遮罩該半導體材料709之該層之頂面的右半部,該半導體材料709之該層之頂面的左半部將被暴露。
參照圖22,執行一第一濕蝕刻製程以移除部分之半導體材料709,並形成具有晶向<111>之第一刻面107-1。於第一濕蝕刻製程後,該第五遮罩層609將被移除。參照圖23,形成一第六遮罩層611於該基底101與該第一刻面107-1上方。該半導體材料709之該層之頂面的右半部將被暴露。
參照圖24,執行一第二濕蝕刻製程以移除部分之半導體材料709並形成具有晶向<111>之第二刻面107-3,其後並同時生成該尖端特徵區域107。該第一濕蝕刻製程與該第二濕蝕刻製程係於約攝氏80度至約攝氏82度下施以一包括氫氧化鉀、異丙醇與水之混合溶液。於第二濕蝕刻製程後,該第六遮罩層611將被移除。
圖25至27為剖面圖,例示本揭露於另一實施例中之半導體裝置10E的製造方法。
參照圖25,該第二隔離結構109與該第三隔離結構111係由與圖9所示之類似程序所製作。執行一植入製程以形成該第一井區113於該基底101內,且該第一井區113介於該第二隔離結構109與該第三隔離結構111之間。隨後,該第一隔離結構103係由圖9所示之類似程序製作於該第一井區113內。
參照圖26,該尖端特徵區域107、該閘極絕緣層201、該閘極底導電層203、該第一閘極間隙壁205、該第二閘極間隙壁207與該第一微摻雜區域301係由如圖10至圖15所示之類似程序所製作。形成一第七遮罩層613於該閘極底導電層203、該第一閘極間隙壁205與該第二閘極間隙壁207上方。執行一植入製程以同時形成該第一摻雜區域303與該第二摻雜區域309於該第一井區113內。於該植入製程後,該第七遮罩層613將被移除。
參照圖27,該閘極頂導電層211、該第一導電層305、該第二導電層311、該第一接觸插塞401、該第二接觸插塞403、該第一絕緣層501與該第二絕緣層503係由與圖17至圖20所示之類似程序所製作。
由於本揭露之半導體裝置的設計,位於該閘極絕緣層201之破損點可輕易地被侷限於鄰近該尖端特徵區107之頂端處。因此,該半導體裝置10A之編程可靠性將得以提升。
雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。
10A:半導體裝置 10B:半導體裝置 10C:半導體裝置 10D:半導體裝置 10E:半導體裝置 100:製造方法 101:基底 103:第一隔離結構 103-1:第一面 103-3:第二面 105:第一主動區域 107:尖端特徵區域 107-1:第一刻面 107-3:第二刻面 109:第二隔離結構 111:第三隔離結構 113:第一井區域 115:第二主動區域 201:閘極絕緣層 201-1:覆蓋區 201-3:平坦區 203:閘極底導電層 205:第一閘極間隙壁 207:第二閘極間隙壁 211:閘極頂導電層 213:第三閘極間隙壁 215:第四閘極間隙壁 301:第一微摻雜區域 303:第一摻雜區域 305:第一導電層 307:第一應力區域 309:第二摻雜區域 311:第二導電層 401:第一接觸插塞 403:第二接觸插塞 405:第一障壁層 407:第二障壁層 501:第一絕緣層 503:第二絕緣層 505:第一蝕刻阻擋層 601:第一遮罩層 603:第二遮罩層 605:第三遮罩層 607:第四遮罩層 609:第五遮罩層 611:第六遮罩層 613:第七遮罩層 701:第一絕緣材料 703:第一導電材料 705:間隙壁材料 707:第二導電材料 709:半導體材料 999:通道區域
參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1為剖面圖,例示本揭露於一實施例中之半導體裝置。 圖2為剖面圖,例示本揭露於一實施例中編程過程之半導體裝置。 圖3至圖6為剖面圖,例示本揭露於一些實施例中之半導體裝置。 圖7為剖面圖,例示本揭露於一實施例中編程過程之半導體裝置。 圖8為流程圖,例示本揭露於一實施例中之半導體裝置的製造方法。 圖9至圖20為剖面圖,例示本揭露於一實施例中半導體裝置的製造方法。 圖21至24為剖面圖,例示本揭露於一實施例中之半導體裝置尖端特徵區域的製造方法。 圖25至27為剖面圖,例示本揭露於一實施例中之半導體裝置的製造方法。
10A:半導體裝置
101:基底
103:第一隔離結構
105:第一主動區域
107:尖端特徵區域
107-1:第一刻面
107-3:第二刻面
201:閘極絕緣層
201-1:覆蓋區
201-3:平坦區
203:閘極底導電層
205:第一閘極間隙壁
207:第二閘極間隙壁
211:閘極頂導電層
301:第一微摻雜區域
303:第一摻雜區域
305:第一導電層
401:第一接觸插塞
403:第二接觸插塞
501:第一絕緣層
503:第二絕緣層

Claims (20)

  1. 一種半導體裝置,包括: 一基底; 一尖端特徵區域設置於該基底上; 一閘極絕緣層設置於該尖端特徵區域與該基底上; 一閘極底導電層設置於該閘極絕緣層上;以及 一第一摻雜區域設置於基底內且相鄰於該閘極絕緣層的一端。
  2. 如請求項1所述之半導體裝置,其中該閘極絕緣層包括一覆蓋區和兩個平坦區,該覆蓋區設置於該尖端特徵區域上,該兩個平坦區與該覆蓋區的兩側相鄰且設置於該基底上,該兩個平坦區的厚度大於或等於該覆蓋區的厚度。
  3. 如請求項2所述之半導體裝置,還包括複數個第一隔離結構設置於該基底內,其中該複數個第一隔離結構在該基底內定義一第一主動區域,該第一摻雜區域位於該第一主動區域內。
  4. 如請求項3所述之半導體裝置,還包括複數個閘極間隙壁設置於該閘極底導電層的側壁和該閘極絕緣層的側壁上。
  5. 如請求項4所述之半導體裝置,還包括一第一微摻雜區域設置於該第一主動區域內且與該閘極絕緣層的一端相鄰。
  6. 如請求項5所述之半導體裝置,還包括一閘極頂導電層和一第一導電層,該閘極頂導電層設置於該閘極底導電層上,該第一導電層設置於該第一摻雜區域上,其中該閘極頂導電層的厚度介於約2奈米至約20奈米之間,該第一導電層的厚度介於約2奈米至約20奈米之間。
  7. 如請求項6所述之半導體裝置,還包括一第一接觸插塞和一第二接觸插塞,該第一接觸插塞設置於該閘極頂導電層上,該第二接觸插塞設置於該第一導電層上。
  8. 如請求項7所述之半導體裝置,還包括一第一絕緣層和一第二絕緣層,該第一絕緣層設置於該基底上,該第二絕緣層設置於該第一絕緣層上,該第一接觸插塞部設置於該第二絕緣層內,該第二接觸插塞穿設該第二絕緣層與該第一絕緣層。
  9. 如請求項8所述之半導體裝置,還包括一第一障壁層設置於該第一接觸插塞與該第二絕緣層之間以及設置於該第一接觸插塞與該閘極頂導電層之間。
  10. 如請求項8所述之半導體裝置,還包括一第一蝕刻阻擋層設置於該第一絕緣層和該第二絕緣層之間。
  11. 如請求項8所述之半導體裝置,還包括一第一應力區域設置於該第一摻雜區域和該第二接觸插塞之間。
  12. 如請求項8所述之半導體裝置,其中該第二絕緣層的孔隙率介於約10%至約50%之間,且該尖端特徵區域包括磊晶矽。
  13. 一種半導體裝置,包括: 一基底; 一第一井區域該基底內; 一第一隔離結構位於該第一井區域內; 一第二隔離結構和一第三隔離結構分別設置於該第一井區域的兩側,其中該第一隔離結構和該第二隔離結構於該基底內定義一第一主動區域,該第一隔離結構和該第三隔離結構於該基底內定義一第二主動區域,其中該第二主動區域與該第一主動區域相對,且該第一隔離結構介於該第一主動區域和該第二主動區域之間; 一尖端特徵區域設置於該第一主動區域上; 一閘極絕緣層設置於該尖端特徵區域以及該基底上; 一閘極底導電層設置於該閘極絕緣層上; 一摻雜區域設置於該第二主動區域內; 一第一接觸插塞設置於該閘極底導電層上方;以及 一第二接觸插塞設置於該摻雜區域上方。
  14. 如請求項13所述之半導體裝置,其中該閘極絕緣層包括一覆蓋區和兩個平坦區,該覆蓋區設置於該尖端特徵區域上,該兩個平坦區與該覆蓋區的兩側相鄰且設置於該基底上,該兩個平坦區的厚度大於或等於該覆蓋區的厚度。
  15. 如請求項14所述之半導體裝置,其中該第一隔離結構的深度小於該第二隔離結構的深度或該第三隔離結構的深度。
  16. 一種半導體裝置的製造方法,包括: 提供一基底; 形成一尖端特徵區域於該基底上; 形成一閘極絕緣層於該尖端特徵區域與該基底上; 形成一閘極底導電層於該閘極絕緣層上; 形成一第一摻雜區域於該基底內並相鄰於該閘極絕緣層之一端。
  17. 如請求項16所述的半導體裝置之製造方法,還包括: 形成複數個第一隔離結構於該基底內,其中該複數個第一隔離結構定義一第一主動區域,且該第一摻雜區域形成於該第一主動區域內。
  18. 如請求項17所述的半導體裝置之製造方法,還包括: 形成一閘極頂導電層於該閘極底導電層上。
  19. 如請求項18所述的半導體裝置之製造方法,其中在該閘極底導電層上形成該閘極頂導電層包括: 在該閘極底導電層上方形成一第二導電材料之一層,該第二導電材料包括鈦、鎳、鉑、鉭或鈷; 於該半導體裝置上執行一熱處理製程; 於該半導體裝置上執行一清洗製程。
  20. 如請求項19所述的半導體裝置之製造方法,其中形成該尖端特徵區域於該基底上包括一磊晶生長製程。
TW109135361A 2020-02-19 2020-10-13 具有可編程反熔絲特徵之半導體裝置及其製造方法 TW202133394A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/794,817 2020-02-19
US16/794,817 US11189565B2 (en) 2020-02-19 2020-02-19 Semiconductor device with programmable anti-fuse feature and method for fabricating the same

Publications (1)

Publication Number Publication Date
TW202133394A true TW202133394A (zh) 2021-09-01

Family

ID=77273041

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109135361A TW202133394A (zh) 2020-02-19 2020-10-13 具有可編程反熔絲特徵之半導體裝置及其製造方法

Country Status (3)

Country Link
US (2) US11189565B2 (zh)
CN (1) CN113284901A (zh)
TW (1) TW202133394A (zh)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387759B1 (en) * 1998-05-18 2002-05-14 Hyundai Electronics Industries Co., Ltd. Method of fabricating a semiconductor device
US6396120B1 (en) * 2000-03-17 2002-05-28 International Business Machines Corporation Silicon anti-fuse structures, bulk and silicon on insulator fabrication methods and application
JP2003115537A (ja) * 2001-10-04 2003-04-18 Toshiba Corp アンチヒューズ素子、半導体装置及び半導体装置のプログラミング方法
US20060157709A1 (en) 2002-08-20 2006-07-20 Koninklijke Philips Electronics N.V. Thin film transistor
JP2009135430A (ja) 2007-10-10 2009-06-18 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
CN101593753B (zh) * 2008-05-30 2011-09-28 和舰科技(苏州)有限公司 非易失性存储器及其制造方法
TWI388015B (zh) 2009-10-08 2013-03-01 Chunghwa Picture Tubes Ltd 薄膜電晶體及其製造方法
CN102822985B (zh) 2010-04-06 2016-08-03 薄膜电子有限公司 外延结构、其形成方法及包含该结构的器件
US8742457B2 (en) * 2011-12-16 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuses on semiconductor fins
KR101952119B1 (ko) 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
US9455178B2 (en) 2014-03-14 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9379207B2 (en) * 2014-06-12 2016-06-28 GlobalFoundries, Inc. Stable nickel silicide formation with fluorine incorporation and related IC structure
CN106158755B (zh) 2015-04-08 2019-03-12 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106328504B (zh) 2015-06-30 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11018259B2 (en) * 2015-12-17 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device comprising gate structure and doped gate spacer
KR102299662B1 (ko) 2017-07-13 2021-09-07 매그나칩 반도체 유한회사 반도체 소자 및 그 제조 방법
US10748899B2 (en) 2017-09-26 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source and drain structures for high voltage devices
US10679936B2 (en) 2017-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. MIM structure
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
CN109390409B (zh) 2018-10-15 2021-07-27 上海华力微电子有限公司 一种阈值可调式高压金属氧化物半导体器件及其制备方法
CN110429084B (zh) 2019-08-13 2022-04-26 德淮半导体有限公司 存储器的结构及其形成方法

Also Published As

Publication number Publication date
US11189565B2 (en) 2021-11-30
US11735520B2 (en) 2023-08-22
US20210257297A1 (en) 2021-08-19
US20220020687A1 (en) 2022-01-20
CN113284901A (zh) 2021-08-20

Similar Documents

Publication Publication Date Title
US20230352548A1 (en) Semiconductor device and method of manufacturing the same
TWI783011B (zh) 半導體裝置與其形成方法
TWI726705B (zh) 半導體裝置及其製造方法
TWI749697B (zh) 半導體元件及其製造方法
TWI749543B (zh) 半導體元件及其製備方法
TW202207464A (zh) 具有石墨烯系元素的半導體元件及其製備方法
TW202145313A (zh) 半導體裝置及其形成方法
TWI751431B (zh) 具有低閃爍雜訊的半導體裝置及其形成方法
TWI834903B (zh) 半導體裝置與其形成方法與鰭狀場效電晶體的形成方法
TWI741579B (zh) 半導體裝置之製造方法
TWI743794B (zh) 半導體裝置及其製造方法
TWI732578B (zh) 具有奈米線接觸點的半導體元件及其製備方法
TWI793520B (zh) 半導體元件及其製備方法
TWI793452B (zh) 具有多尺寸閘極結構的半導體元件及其製備方法
TWI726692B (zh) 半導體裝置及其製造方法
TWI231993B (en) A structure and forming method of an ultra-thin body transistor with recessed source and drain region
TW202133394A (zh) 具有可編程反熔絲特徵之半導體裝置及其製造方法
TW202240894A (zh) 半導體裝置及其製造方法
CN112736141A (zh) 一种具有异质栅介质的纳米片晶体管及制备方法
TWI779816B (zh) 具有可程式化單元的半導體元件及其製備方法
TWI756018B (zh) 半導體元件及半導體方法
TWI763227B (zh) 具有遞變多孔介電結構的半導體元件
US20230352588A1 (en) Semiconductor device and method for fabricating the same
CN107180868A (zh) 一种半导体器件及其制造方法
TW202221908A (zh) 用於形成立體(3d)記憶體元件的方法