TW202130852A - 蝕刻基板上之膜的方法 - Google Patents

蝕刻基板上之膜的方法 Download PDF

Info

Publication number
TW202130852A
TW202130852A TW110110520A TW110110520A TW202130852A TW 202130852 A TW202130852 A TW 202130852A TW 110110520 A TW110110520 A TW 110110520A TW 110110520 A TW110110520 A TW 110110520A TW 202130852 A TW202130852 A TW 202130852A
Authority
TW
Taiwan
Prior art keywords
reactant
gas phase
metal
substrate
halide
Prior art date
Application number
TW110110520A
Other languages
English (en)
Other versions
TWI751059B (zh
Inventor
湯姆 E. 布倫堡
朱馳宇
馬可J. 圖敏南
蘇維 P. 賀加
瓦倫 夏瑪
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202130852A publication Critical patent/TW202130852A/zh
Application granted granted Critical
Publication of TWI751059B publication Critical patent/TWI751059B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • C23F4/02Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00 by evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

本發明揭示蝕刻基板上之膜的方法。在一些具體例中,所述方法包括多個蝕刻循環,各循環包括:使所述基板暴露至第一氣相非金屬氧鹵化物反應物;以及從所述反應室中移除過量的所述第一氣相非金屬氧鹵化物反應物以及副產物,其中所述基板在所述蝕刻循環期間未與電漿反應物接觸。

Description

蝕刻基板上之膜的方法
本申請案係關於蝕刻製程,更特定言之係關於藉由化學原子層蝕刻在反應室中蝕刻基板上之膜的方法。
[相關申請案之交互參照] 本申請案主張2016年12月9日提出申請之美國臨時申請案第62/432,318號、2017年1月24日提出申請之美國臨時申請案第62/449,945號、2017年2月7日提出申請之美國臨時申請案第62/455,989號、及2017年4月13日提出申請之美國臨時申請案第62/485,330號的優先權。
熟知的氣相沉積製程諸如原子層沉積(atomic layer deposition;ALD)。ALD製程通常利用氣相反應物的交替及依序脈衝來以受控且高度等形的方式沉積至多一單層的材料。藉由ALD沉積的薄膜被使用於相當多樣的應用中,諸如用於形成積體電路。材料的受控移除亦為極度需要。相對於ALD,原子層蝕刻(atomic layer etching;ALE)利用氣相反應物之依序脈衝來於各反應循環中自基板移除材料。典型的ALE製程利用第一反應物來在基板表面上形成第一物種,其隨後藉由自電漿產生的第二受激物種移除。
在一些具體例中,在反應室中藉由包括一或多個蝕刻循環的化學原子層蝕刻製程來蝕刻基板上之膜。各蝕刻循環包括使基板暴露至第一氣相鹵化物反應物,諸如非金屬鹵化物反應物,以於基板表面上形成經吸附物種,及隨後使基板暴露至第二氣相反應物,其中該第二氣相反應物將經吸附物種轉變為包括一或多個來自待蝕刻表面之原子的揮發性物種。以此方式,至少一些材料於各蝕刻循環中自膜被移除。
在一些具體例中,第一氣相鹵化物反應物(諸如非金屬鹵化物反應物)包括第一鹵化物配位子且第二氣相反應物包括第二鹵化物配位子。在一些具體例中,在蝕刻循環期間基板不與電漿反應物接觸。在一些具體例中,蝕刻循環經重複兩次或多於兩次。在一些具體例中,第一氣相鹵化物反應物可包含金屬。
在一些具體例中,揮發性加成物包含雜氮三環(atrane)化合物。在一些具體例中,雜氮三環化合物係自三(2-胺乙基)胺或三乙醇胺形成。
在一些具體例中,膜包含W、TiN、TiO2 、TaN、SiN、SiOX 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、SiOCN、SiOC、SiCN、AlN及HfO2 中之至少一者。
在一些具體例中,待蝕刻之表面包含金屬氮化物諸如TiN或TaN且第二氣相反應物包含路易斯酸(Lewis acid)。 在一些具體例中,第一氣相鹵化物反應物包含金屬鹵化物。在一些具體例中,金屬包含Nb、Ta、Mo、Sn、V、Re、W、或第5或6族過渡金屬。在一些具體例中,第一氣相鹵化物反應物包含Sb或Te。在一些具體例中,鹵化物包含氯化物、氟化物、溴化物、或碘化物。在一些具體例中,第一氣相鹵化物反應物包含NbF5
在一些具體例中,第一氣相鹵化物反應物不包含金屬。在一些具體例中,第一氣相鹵化物反應物包含有機鹵化物化合物。在一些具體例中,第一氣相鹵化物反應物包含烷基鹵化物、醯基鹵化物、磺醯基鹵化物、烴硫基鹵化物、氧硒基鹵化物、或包含有機配位子之鹵化硼。
在一些具體例中,第一氣相鹵化物反應物包含氟磺酸、三氟甲烷磺酸、三氟甲烷磺酸三氟甲酯、或1-氯2-(五氟硫烷基氧)乙烷。
在一些具體例中,第一氣相反應物包含異氰酸氯磺醯酯或N,N-二甲基胺磺醯氯。
在一些具體例中,第一氣相反應物包含硼、氫及鹵化物。在一些具體例中,第二氣相鹵化物反應物包含磷、氧、及鹵化物。在一些具體例中,第一氣相鹵化物反應物包含銻及鹵化物。
在一些具體例中,第一氣相鹵化物反應物包含一或多個CF3 基團。
在一些具體例中,第一氣相鹵化物反應物可包含環狀化合物如環己二烯(chd)、環戊二烯等。在一些具體例中,第一反應物可包含α,β-不飽和羰基化合物,例如烯酮如甲基乙烯基酮等。
在一些具體例中,第二氣相反應物包含路易斯鹼。在一些具體例中,路易斯鹼包含吡啶、四氫呋喃(thf)、DMSO、四氫噻吩、吡咯、咪唑、噻嗪、或嗪類諸如吡嗪。在一些具體例中,第二氣相反應物包含二胺或二硫酮。在一些具體例中,第二氣相反應物包含雜環反應性化合物。在一些具體例中,雜環化合物包含硫代碳酸酯、噻二唑、或二噁烷。
在一些具體例中,第二氣相反應物包含平面化合物諸如BCl3 、BF3 、或AlCl3 。在一些具體例中,第二氣相反應物包含鹵化物。在一些具體例中,第二氣相反應物包含多於兩種鹵化物。
在一些具體例中,第二氣相反應物包含SO3 、異硫氰酸烷酯諸如CH3 NCS、氯腈、COS、或CS2
在一些具體例中,第二氣相反應物能夠與已吸附至基板表面之金屬原子形成配位鍵。
在一些具體例中,第二氣相反應物不包含金屬。在一些具體例中,第二氣相鹵化物反應物係碳類的鹵化物。在一些具體例中,碳類的鹵化物包含CCl4 或CBr4
在一些具體例中,蝕刻循環包括使基板暴露至第一氣相反應物及隨後使基板暴露至第二氣相反應物,其中在蝕刻循環期間該基板未與電漿反應物接觸。在一些具體例中,第一氣相反應物包含CSe2 在一些具體例中,第一氣相反應物包含具有S=R=S結構之化合物,其中R可為碳或任何烴,諸如C2-C8。在一些具體例中,第一氣相反應物包含CS2 在一些具體例中,CS2 參與蝕刻劑的原位形成。在一些具體例中,第二氣相反應物包含TEA或TMA。
在一些具體例中,在反應室中蝕刻基板上之薄膜的製程包括使基板依序暴露至氣相鹵化物反應物,其中該氣相鹵化物反應物不為包含氫的烷基鹵化物,且其中該製程不為自限性。
在一些具體例中,蝕刻循環期間的基板溫度係300°C至500°C。
在一些具體例中,蝕刻基板表面上之膜的方法包括使基板表面暴露至包含第一鹵化物配位子之第一氣相鹵化物反應物以於基板表面上形成第一反應物物種,其中該第一氣相鹵化物反應物不包含氫。隨後可使該基板暴露至包含第二鹵化物配位子之第二氣相鹵化物反應物,使得第二氣相鹵化物反應物將第一反應物物種轉變為氣相反應產物,其中該第二氣相鹵化物反應物不包含氫。在一些具體例中,第一反應物物種之形成及/或第二氣相鹵化物反應物之轉變為氣相反應產物不為自限性。在一些具體例中,基板於蝕刻循環期間未暴露至電漿反應物。在一些具體例中,基板於一或多個蝕刻循環中暴露至不同於第一及第二氣相反應物的第三氣相反應物。
可藉由原子層蝕刻(ALE)製程自基板移除一子單層或更多的材料,該製程包括使基板表面於反應空間中與第一及第二氣相反應物交替地接觸。在ALE類型製程的一些具體例中,提供一或多個蝕刻循環,其包括其中使基板與第一氣相反應物接觸的飽和、自限吸附步驟,接著為使基板與第二氣相反應物接觸的第二暴露步驟。在第一吸附步驟中,第一反應物通常以自限方式吸附至基板表面上的待蝕刻材料。第二暴露步驟接著導致形成包含被吸附質原子、第二反應物之原子及來自經蝕刻表面之一些原子的揮發性副產物。以此方式,可謹慎控制基板表面上之期望材料的蝕刻。在一些具體例中,第二反應物形成包括來自經蝕刻表面之原子的揮發性加成物。
可將加成物視為,例如,化學物種AB,其之各化學或分子實體係藉由以化學或分子實體連接之方式有所改變,但化學實體A及B內未失去原子的方式直接組合兩個別化學或分子實體A及B來形成。
在一些具體例中,可自基板表面移除表面污染物,諸如B或C污染物。在此情況,污染物可係表面或膜上之任何不期望原子,例如金屬污染物、S、O等。在一些具體例中,可於沉積步驟期間藉由在沉積循環之每第n個循環所增加的額外選擇性蝕刻步驟自基板表面或自膜自身移除污染物。
在一些具體例中,待蝕刻的目標材料包含金屬,諸如Ti、Ta、Al、Zr或Hf、W。在一些具體例中,待蝕刻的材料包含下列中之一或多者:W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 及HfO2 。在一些具體例中,待蝕刻的材料包含金屬氮化物或金屬氧化物或其混合物。在一些具體例中,待蝕刻的材料可包含Si、Ge、a-C、石墨烯、聚合物、SiOx 、金屬,除了以上提供的金屬外尚包括Pt、Fe、Cu、Au、及Zn。
在一些具體例中,藉由將反應物交替及依序地進給至反應室中來避免氣相反應。氣相反應物在反應室中為彼此分離。在一些具體例中,此可例如藉由在反應物脈衝之間自反應室移除過量之反應物及/或反應副產物而完成。在一些具體例中,反應物可藉助沖洗氣體及/或真空而自基板表面附近移除。在一些具體例中,過量之反應物及/或反應物副產物係藉由(例如)利用惰性氣體沖洗而自反應空間移除。在一些具體例中,沖洗包括使基板表面暴露至沖洗氣體,諸如惰性氣體。由於反應物的分離及反應的自限特性,通常在各ALE蝕刻循環中移除低於一單層的材料。然而,在一些具體例中,可於各循環中移除多於一單層。在一些具體例中,反應可不為自限性或飽和性。在一些具體例中,諸階段中之至少一者,諸如在暴露至第一氣相反應物、第二氣相反應物中或在額外階段中之反應物,反應(諸如蝕刻反應)不為自限性或飽和性。在一些具體例中,反應物之脈衝可部分或完全重疊。例如,在一些具體例中,一反應物可連續流入至反應空間中,同時以期望間隔間歇地提供一或多種額外反應物。
本文所揭示之ALE方法係熱蝕刻製程,而非電漿蝕刻製程。因此,於ALE蝕刻循環中未使用電漿反應物。雖然稱為熱ALE製程以與使用電漿反應物的製程作區分,但在一些具體例中,ALE反應可具有零活化能且因此可不需要任何額外熱能。因此,該些反應在本文中亦可稱為化學蝕刻製程。熱ALE方法在一些情況中可能較電漿ALE方法更為理想,因為熱ALE方法可能較不會損傷下方基板。此外,熱ALE方法容許各向同性蝕刻非直視性(non-line of sight ;NLOS)特徵。
本文中揭示之ALE製程利用已經發現容許在未使用電漿下之受控蝕刻的特定反應物或反應物之組合。在一些具體例中,使用金屬鹵化物(諸如過渡金屬鹵化物,例如第5或6族過渡金屬鹵化物之鹵化物諸如氯化物、氟化物、溴化物或碘化物)作為第一反應物且在第一自限吸附步驟中與基板接觸。第一反應物中之金屬可係,例如,Nb、Ta、Mo、Sn、V、Re、Te、或W。在一些具體例中,金屬鹵化物第一反應物係金屬氯化物,諸如NbCl5 、SnCl4 、TaCl5 、MoClx ,其中x係約3至5;或WClx ,其中x係約4至6。在一些具體例中,金屬鹵化物第一反應物係金屬氟化物,諸如NbF5 、TaF5 、WF6 、VF5 、ReF6 、ReF7 、或MoF6 。在一些具體例中,可使用非金屬或半金屬氟化物如TeF6 、SbF5 或AsF5 作為第一反應物。在一些具體例中,金屬鹵化物可為金屬溴化物或金屬碘化物,諸如SnBr4 、SnI4
在一些具體例中,第一反應物可包含不為金屬鹵化物的鹵化物。
在一些具體例中,第一反應物可包含有機鹵化物化合物。例如,在一些具體例中,第一反應物可包含烷基鹵化物化合物。在一些具體例中,第一反應物可包括含有兩個或更多碳原子之芳族、飽和、或不飽和脂族烷基鹵化物化合物。在一些具體例中,第一反應物可包含經取代的烷基鹵化物,例如在一些具體例中,第一反應物可包含第三丁基氯、1,1-二氯乙烷、1,2-二氯乙烷、或三氯乙烷、三氟乙醇、三氟異丙醇。在一些具體例中,第一反應物可包含芳族、飽和、或不飽和脂族烯鹵化物化合物。例如,在一些具體例中,第一反應物可包含經取代的乙烯基鹵化物、或烯丙基鹵化物。
在一些具體例中,第一反應物可包含有機氧鹵化物。在一些具體例中,第一反應物可包含醯基鹵化物化合物,諸如芳族、飽和、或不飽和脂族醯基鹵化物化合物,包括二、及三醯基鹵化物。例如,在一些具體例中,第一反應物可包含反丁烯二醯氯、丙二醯氯、丁二醯鹵、或草醯鹵。在一些具體例中,第一反應物可包含對苯二甲醯氯。在一些具體例中,第一反應物可包含1,3,5-苯三羰基三氯。
在一些具體例中,第一反應物可包含磺醯鹵,諸如芳族、飽和、或不飽和脂族磺醯鹵。在一些具體例中,第一反應物可包含,例如,乙烷磺醯氟(C2 H5 FO2 S)、甲烷磺醯氯(CH3 ClO2 S)、甲烷磺醯氟(CH3 FO2 S)、苯磺醯氟(PhFO2 S)、吡啶磺醯氟(C5 H4 FNO2 S)、噻吩磺醯氟(C4 H3 FO2 S2 )、氰基甲烷磺醯氯(C2 H2 ClNO2 S)、氯甲烷磺醯氯(ClCH2 SO2 Cl)、或三氟甲烷磺醯氯(CF3 SO2 Cl) 等。在一些具體例中,第一反應物可包含烴硫基鹵化物化合物或氧硒基鹵化物化合物。例如,在一些具體例中,第一反應物可包含三氯甲烷烴硫基氯(CCl3 SCl)、或氯羰基烴硫基氯(ClCOSCl)。在一些具體例中,第一反應物可包含具有式PhSeCl之化合物,其中Ph係苯基。在一些具體例中,第一反應物可包含具有式RSeX之化合物,其中R係烷基配位子及X係鹵化物。
在一些具體例中,第一反應物可包含硫、碳、及一或多個鹵原子,諸如硫光氣(CSCl2 )。
在一些具體例中,第一反應物可包含硫、磷、及一或多個鹵原子,諸如硫代磷醯氯(PSCl3 )及硫代磷醯氟(PSF3 )。
在一些具體例中,第一反應物可包含磷及一或多個鹵原子。
在一些具體例中,第一反應物可包含硫、氮及一或多個鹵原子,諸如噻嗪氯、噻嗪氟、噻嗪三氟(NSF3 )。
在一些具體例中,第一反應物可包含磷、氧及一或多個鹵原子,諸如磷醯氯(POCl3 )。
在一些具體例中,第一反應物可包含配位子、磷、氧及一或多個鹵化物。在一些具體例中,第一反應物可具有通式配位子-POX2 。例示性配位子包括二烷基醯胺基(例如N,N-二甲基磷醯二氯)、苯基(例如苯磷醯二氯)及烷基(例如第三丁基膦醯二氯及甲基膦醯二氯)。
在一些具體例中,第一反應物可包含配位子、磷及一或多個鹵化物。在一些具體例中,第一反應物可具有通式配位子-PX2 ,其中X係鹵化物(包括不僅氯及氟)。例如,第一反應物可包含二甲基亞磷醯胺二氯。
在一些具體例中,第一反應物可包含硫及碳。在一些具體例中,第一反應物可包含S=R=S結構,其中R可為碳或任何烴,諸如C2-C8烴。例如,在一些具體例中,第一反應物可包含二硫化碳(CS2 )或二硒化碳(CSe2 )。在一些具體例中,第一反應物可與併入基板中之過渡金屬形成加成物。
在一些具體例中,第一反應物可包括含有氧及硫及鹵化物及氫或烴基(諸如烷基)的化合物。
在一些具體例中,第一反應物可包括亞磺醯鹵,諸如芳族或脂族或經取代的芳族或經取代的脂族、飽和、或不飽和亞磺醯鹵。在一些具體例中,第一反應物可包括,例如,三氯甲烷亞磺醯氯、三氟甲烷亞磺醯氟、三氟甲烷亞磺醯氯、第三丁基亞磺醯氯。
在一些具體例中,第一反應物可包含磺酸鹵化物化合物諸如芳族或脂族或經取代的芳族或經取代的脂族、飽和、或不飽和磺酸鹵化物。例如,在一些具體例中,第一反應物可包含氟磺酸(FSO3 H)及/或三氟甲烷磺酸(CF3 SO3 H)。
在一些具體例中,第一反應物可包含磺酸酯化合物,諸如芳族或脂族或經取代的芳族或經取代的脂族、飽和、或不飽和磺酸酯鹵化物。例如,在一些具體例中,第一反應物可包含三氟甲烷磺酸三甲基矽烷基酯(C4 H9 F3 O3 SSi)及三氟甲烷磺酸三氟甲酯(CF3 SO3 CF3 )。
在一些具體例中,第一反應物可包含具有式A-SF3 之經取代三氟化硫,其中A可為二甲硫、二乙硫、苯、烷基、吡啶、噻吩、環丙烷、或胺根基包括三氟(N-甲基甲烷胺根)硫中之甲基甲烷胺根。
在一些具體例中,第一反應物可包含具有式X-O-SFy 的硫烷化合物,其中X係烷基配位子、芳族配位子或鹵化物且y係1至5。例如,在一些具體例中,反應物可包括1-氯2-(五氟硫烷基氧)乙烷(SF5 OC2 H2 Cl)。
在一些具體例中,第一反應物可包含硫、氧及鹵化物(諸如氯或氟)、及烴且可包括環烷基,例如環丙基,例如環丙基硫醯氯。
在一些具體例中,第一反應物可包含具有通式配位子-CCl3 之反應物。在一些具體例中,第一反應物可包含氮、鹵化物、碳及氧。例如,反應物可包含三氯硝基甲烷(CCl3 NO2 )或異氰酸三氯乙醯酯(Cl3 CCONCO)。
在一些具體例中,第一反應物可包含氫、鹵化物、碳及氧。在一些具體例中,第一反應物可包含,例如,氯甲酸烷酯諸如氯甲酸乙酯、氯甲酸甲酯、氯甲酸丙酯、氯甲酸氯甲酯、或2,2,2-三氯乙氧羰基氯。
在一些具體例中,第一反應物可包含氮、氫、鹵化物、碳及氧。在一些具體例中,第一反應物可包含,例如,三氯乙醯胺或經取代的三氯乙醯胺(O-烯丙基2,2,2-三氯乙醯亞胺酸酯)。
在一些具體例中,第一反應物可包含氮、鹵化物、及碳。在一些具體例中,第一反應物可包含,例如,三氯乙腈。
在一些具體例中,第一反應物可包含氮、碳、硫、鹵化物及氧。
在一些具體例中,第一反應物可包含碳、硫、鹵化物、氫及氧。
在一些具體例中,第一反應物可包含氮、碳、硫、鹵化物、氫及氧。在一些具體例中,第一反應物可包含異氰酸氯磺醯酯、氯硫酸氯甲酯、或N,N-二甲基胺磺醯氯。
在一些具體例中,第一反應物可包含鹵素及琥珀醯亞胺基。在一些具體例中,例如,第一反應物可包含N-氯琥珀醯亞胺、N-溴琥珀醯亞胺。
在一些具體例中,第一反應物可包含硼、鹵化物及氫。在一些具體例中,第一反應物可包含硼、氟及氫。在一些具體例中,第一反應物可包含,例如,HBF4 。在一些具體例中,當第一反應物在反應物來源容器中並非呈汽化形式時,HBF4 係以複合化合物使用。
在一些具體例中,第一反應物可包含於穩定劑中之三鹵化硼,其中該穩定劑可為,例如,且不限於,烷基胺、烷基腈、水、或二甲硫、或其他可與三鹵化硼形成揮發性複合物或加成物的化合物。在一些具體例中,第一反應物可包含,例如,三氟化硼乙胺複合物。
在一些具體例中,第一反應物可包含通式BXa Yb 之鹵化硼,其中「a」及「b」可大於或等於0、或大於或等於1,且其中X及Y可為鹵化物,包括氟、氯及溴及碘。第一反應物可於含有至少一個碳、氧或氫之有機穩定劑(包括,例如,乙醇、乙醚、二甲醚、二甲硫)中經穩定。
在一些具體例中,第一反應物可包含四氟硼酸乙醚複合物。在一些具體例中,第一反應物可包含,例如,二水合三氟化物。在一些具體例中,第一反應物可包含,例如,三氟化硼四氫呋喃複合物。
在一些具體例中,第一反應物係於蝕刻循環期間連續地流動或以循環方式流入至反應器中。
在一些具體例中,第一反應物可包含銻及鹵化物,諸如氟。在一些具體例中,第一反應物可包含銻、氟及氫。在一些具體例中,第一反應物可包含銻、氟、氧及氮。在一些具體例中,第一反應物可包含銻、氟及氧。在一些具體例中,第一反應物可包含銻、氟及至少一種除銻或氟外之配位子。在一些具體例中,第一反應物可包含氟銻酸鹽化合物。例如,在一些具體例中,第一反應物可包含六氟銻酸(HSbF6 )、六氟銻酸硝鎓NO2 SbF6、六氟銻酸亞硝鎓(NOSbF6 )、或水合六氟銻酸(HSbF6 ·6H2 O)。
在一些具體例中,第一反應物可包含磷及氧。在一些具體例中,第一反應物可包含磷、氧及氫。在一些具體例中,第一反應物可包含磷、氧及鹵化物諸如氟。在一些具體例中,第一反應物可包含磷、氧及烴基,諸如烷基。在一些具體例中,第一反應物可包含磷酸鹽化合物。例如,在一些具體例中,第一反應物可包含六氟磷酸銨。
在一些具體例中,第一反應物可包含具有4或更多個鹵化物、5或更多個鹵化物、或6或更多個鹵化物的化合物,其中該些鹵化物可為,但不限於,氯及/或氟。在一些具體例中,第一反應物可包含–CF3 基團。在一些具體例中,第一反應物可包含可有助於選擇性蝕刻的–CF3 基團。在一些具體例中,第一反應物可包含–CF3 基團及硫。在一些具體例中,第一反應物可包含–CF3 基團、氮及氧。在一些具體例中,第一反應物可包含–CF3 基團、碳、氫及氧,例如氯二氟乙酸。在一些具體例中,第一反應物可包含–CF3 基團及–NH2 基團。在一些具體例中,第一反應物可包含–CF3 基團、–NH2 基團及氧或硫。
在一些具體例中,第一反應物可包含–CF3 基團、氧及氮,且可經由烴鏈(諸如烷基鏈)連接。在一些具體例中,第一反應物可包含1或更多個CXa Yb -基團,其中X及Y係鹵化物且可為,但不限於,氟及/或氯。在一些具體例中,第一反應物亦可包含碳、氫及氧,例如氯二氟乙酸酐。在一些具體例中,當第一反應物在反應物來源容器中並非呈汽化形式時,第一反應物可包含HCl或HF(例如)作為穩定劑。在一些具體例中,第一反應物可包含HCl,其係分開地供應至反應室。
在一些具體例中,第一反應物可包含碳及鹵素。在一些具體例中,第一反應物可包含式CXa Yb 之化合物,其中a及b可係大於或等於1,例如,在一些具體例中,第一反應物可包含CCl3 Br、CCl2 Br2 。在一些具體例中,第一反應物可包含式CHXa Yb 之化合物,其中a及b可係大於或等於1,例如,在一些具體例中,第一反應物可包含 CHCl2 Br、CHCl3 、CHCl2 Br或CHClBr2
在一些具體例中,第一反應物可包含式MOc Xa Yb 之化合物,其中c可係大於0,及a及/或b可係大於或等於1且可大於等於0,且M可係任何過渡金屬。例如,在一些具體例中,M可係錸、鈮、鎢、鈦、釩、鉻,且其中X及Y可係彼此不同的鹵化物或X及Y可係相同的鹵化物。在一些具體例中,第一反應物可包含式 MoOF4 、ReOF4 、WOF4 、ReOF5 、ReO2 F2 、ReO2 Cl3 、NbOF3 之化合物。
在一些具體例中,第一反應物可包含接近於或鄰近於反應物藉以鍵結至表面之原子的負電性元素,諸如鹵化物諸如氟或氯。例如,在一些具體例中,第一反應物可包含六氟乙醯丙酮(Hhfac),其中氟係接近於hfac藉以鍵結至表面之C=O基團。
在一些具體例中,第一反應物可包含具有通式Xa Yb 的鹵基-鹵素化合物,其中X及Y可係氯或氟或溴或碘,a及b係化學計量係數,其中a及b各可大於或等於1。在一些具體例中,第一反應物可包含 ClF、BrCl、ClF3 、BrF3 、ClF5 、BrF5 、IF5 、IF7 、ICl3 、ICl5 或ICl. 在一些具體例中,第一反應物可包含鹵素及氧。在一些具體例中,第一反應物可包含具有通式Ob Xa 或Ob Xa Yc 的氧鹵化物。在一些具體例中,第一反應物可包含多於一個鹵素及多於一個氧。在一些具體例中,第一反應物可包含OF2 、FClO2 、或FClO3
在一些具體例中,第一反應物可包含鹵素、氮及硫。在一些具體例中,第一反應物可包含,例如,噻嗪氯(NSCl)。在一些具體例中,第一反應物可包含鹵素、氮、氧及硫。在一些具體例中,第一反應物可包含,例如,NSOCl。
在一些具體例中,第一反應物可包含鹵素、磷及氧。在一些具體例中,第一反應物可包含,例如,POCl3
在一些具體例中,第一反應物可包含經取代的烷基氫氧化銨化合物、或烷基胺化合物。在一些具體例中,將烷基鹵化銨或氫氧化銨化合物形成於表面上。例如,在一些具體例中,第一反應物可在表面上包含或形成諸如四甲基氫氧化銨、或四甲胺。在一些具體例中,第一反應物可包含第二或第三烷基胺。
在一些具體例中,第一反應物可包括含有有機配位子的鹵化硼化合物。例如,在一些具體例中,第一反應物可包含具有式BXn L3-n 之化合物,其中L係有機配位子,諸如乙醯基丙酮酸根(acac)配位子,X係鹵化物,及n係1或2。在一些具體例中,第一反應物可包含BF2 acac。
在一些具體例中,第一反應物可包含碳、氫、及/或錫。例如,在一些具體例中,第一反應物可包含六甲基二錫。在一些具體例中,第一反應物可包含碳、氫、鹵化物及錫。例如,在一些具體例中,第一反應物可包含三甲基錫溴。
在一些具體例中,第一氣相反應物包含第一鹵化物配位子及第二氣相反應物包含第二鹵化物配位子。在一些具體例中,第一及第二氣相反應物皆包含Cl。在一些具體例中,第二鹵化物配位子係不同於第一鹵化物配位子。
在一些具體例中,第一氣相鹵化物反應物可為無機物。在一些具體例中,第一氣相鹵化物反應物不包含碳或C及H兩者。在一些具體例中,第二氣相反應物可為無機物。在一些具體例中,第二氣相反應物可不包含碳或C及H兩者。
在一些具體例中,第一氣相反應物及第二氣相反應物包含相同數目的鹵化物配位子。在一些具體例中,第一氣相反應物及第二氣相反應物包含不同數目的鹵化物。在一些具體例中,第一氣相反應物包含一、二、三、四、五或六個鹵化物,同時第二氣相反應物個別包含一、二、三、四、五或六個鹵化物。
在一些具體例中,第一及第二氣相反應物皆包含相同的鹵化物。在一些具體例中,第一及第二氣相反應物包含不同的鹵化物。
在一些具體例中,第一氣相鹵化物反應物包含一、二、三、四、五或六個鹵化物,其可皆為相同的鹵化物,或可不同,且第二氣相反應物包含與第一反應物不同數目的鹵化物。第二反應物中之鹵化物可與第一反應物中之鹵化物相同或不同。在一些具體例中,第二氣相反應物可選自文中所述之反應物;亦即,在一些具體例中,可在沉積循環中使用兩種(或多於兩種)稱為「第一氣相反應物」之不同反應物作為第一及第二反應物。
在一些具體例中,第一氣相鹵化物反應物包含二至六個鹵化物(或鹵原子),例如氯化物或氟化物,同時第二氣相反應物包含二至六個鹵化物(或鹵原子)。在一些具體例中,第一氣相鹵化物反應物包含三至五個鹵化物(或鹵原子),例如氯化物或氟化物,同時第二氣相反應物包含三至五個鹵化物(或鹵原子)。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第一及第二氣相鹵化物反應物皆包含四至五個鹵化物(或鹵原子),例如氯化物或氟化物。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第一氣相鹵化物反應物係氟化或氯化劑,同時第二氣相反應物係氯化或氟化劑。在一些具體例中,第一氣相鹵化物反應物係氟化劑,同時第二氣相反應物係氯化劑。在一些具體例中,第一氣相反應物係氯化劑,同時第二氣相反應物係氟化劑。
在一些具體例中,第一氣相鹵化物反應物包含多於一個(諸如二或更多個、三或更多個、四或更多個或五或更多個)鹵化物(或鹵原子),例如氯化物或氟化物,同時第二氣相反應物包含少於五個、少於四個、少於三個或少於兩個鹵化物(或鹵原子)。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第一氣相鹵化物反應物包含四至五個鹵化物(或鹵原子),例如氯化物或氟化物,同時第二氣相反應物包含少於五個、少於四個、少於三個或少於兩個鹵化物(或鹵原子)。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第二氣相鹵化物反應物包含多於一個(諸如二或更多個、三或更多個、四或更多個或五或更多個)鹵化物(或鹵原子),例如氯化物或氟化物,同時第一氣相反應物包含少於五個、少於四個、少於三個或少於兩個鹵化物(或鹵原子)。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第二氣相鹵化物反應物包含四至五個鹵化物(或鹵原子),例如氯化物或氟化物,同時第一氣相反應物包含少於五個、少於四個、少於三個或少於兩個鹵化物(或鹵原子)。第二氣相反應物中之鹵化物可與第一氣相反應物中之鹵化物相同或不同。
在一些具體例中,第一非金屬鹵化物反應物係具有式配位子-SX2 以及配位子-SX3 之非金屬鹵化物反應物,其中X係鹵化物及S可係硫及磷,配位子可係二烷基醚如二甲基醚,二烷基硫醚如二甲基硫醚,烷基胺如二甲胺、苯、烷基、吡啶、噻吩、環丙烷及正鹵亞胺基硫等。在一些具體例中,第一氣相非金屬鹵氧化物反應物可係三氟(N-甲基甲烷胺根)硫。在一些具體例中,第一氣相非金屬鹵氧化物反應物係二氟化N-氟甲醯基亞胺基硫(SF2=NCOF)。
在一些具體例中,使用鹵化物反應物(諸如氟化物、氯化物、溴化物或碘化物)作為第二反應物,其與經吸附物種反應形成可自反應空間移除之揮發性反應產物。在一些具體例中,第二反應物係非金屬或半金屬鹵化物。例如,在一些具體例中,第二反應物係碳類的鹵化物。在一些具體例中,第二反應物可包括,例如,碳類的氟化物、溴化物、碘化物或氯化物,例如,CCl4 或CBr4 。在一些具體例中,第二反應物係半金屬類的鹵化物,諸如Ge鹵化物,例如,半金屬氯化物,如SbCl3 、SbCl5 、SiCl4 、或GeCl4 。在一些具體例中,任何以上參照第一反應物所描述之反應物可連同任何前述第一反應物一起使用作為第二反應物。換言之,可使用任何兩種前述的第一反應物作為第一反應物及第二反應物。針對簡單性理由,將碳類的鹵化物(包括CCl4 )視為有機或烷基鹵化物。
在一些具體例中,第二反應物可包含可於已與如文中所述之第一反應物接觸之表面或基板上形成揮發性加成物的化合物。第二反應物與藉由第一反應物形成於基板表面上之物種形成揮發性加成物,且該等加成物包括一或多個來自待蝕刻表面的原子。揮發性加成物隨後可自反應空間移除。例如,在一些具體例中,第二反應物可包含當與諸如–OH、-SH、-NH2、=NH封端之表面以及鹵化表面(諸如氯化高k表面)、或氧化表面、或胺配位表面接觸時可形成揮發性加成物的化合物。
在一些具體例中,第二反應物可包含可在已與如文中所述之第一反應物接觸之表面上形成金屬鹵化物加成化合物的化合物。
在一些具體例中,第二反應物可包含可與已吸附至基板表面之金屬原子形成配位鍵的化合物。例如,二胺、二硫酮、硫碳酸酯、噻二唑可與表面上之金屬原子形成配位鍵。
在一些具體例中,第二反應物可包含當與待蝕刻表面上之金屬(諸如過渡金屬)反應時將形成揮發性加成物的路易斯鹼。例如,在一些具體例中,第二反應物可包含吡啶、四氫呋喃(THF)、二甲亞碸(DMSO)、四氫噻吩、或其他可於表面上形成揮發性加成物的路易斯鹼。在一些具體例中,路易斯鹼包括烷基或芳基或經取代腈(氰酸酯)及異腈如甲基腈、甲基異腈、NH3 氣體、烷基或經取代異硫氰酸酯、異氰酸酯、多元醇(諸如丙烷1,2,3醇)、乙醇胺、碸類(諸如甲基磺醯基甲烷)、PX3 及三氯硝基甲烷。
在一些具體例中,當被蝕刻之材料包含金屬氮化物(諸如TiN或TaN)時,第二反應物可包含路易斯酸。在一些具體例中,路易斯酸係SO3 。在一些具體例中,第二反應物可包含平面化合物諸如BCl3 、BF3 、或AlCl3 、具有共軛電子系統之化合物、或將於待蝕刻表面上形成加成物之超價分子。
在一些具體例中,第二反應物可包含將於待蝕刻表面上形成加成物之二胺或二硫酮化合物。
在一些具體例中,第二反應物可包含烷基或芳基異氰酸酯、或其之亦可於待蝕刻之基板表面(諸如包含過渡金屬之表面)上形成加成物的經取代形式。在一些具體例中,第二反應物可包含烷基或芳基異硫氰酸酯、或其之經取代形式。
在一些具體例中,形成揮發性加成物的第二反應物可包含烷基或芳基多元醇,例如1,2,3,丙烷三醇及乙烷二醇等。
在一些具體例中,形成加成物的第二反應物可包含–NH2及–OH官能基,例如乙醇胺。
在一些具體例中,形成加成物的第二反應物可包含三氧化硫(SO3 )。
在一些具體例中,第二反應物可包含碸類,例如,甲基磺醯基甲烷,其可輔助形成揮發性加成物。
在一些具體例中,第二反應物可包含雜環反應性化合物。在一些具體例中,第二反應物可包括含有大於等於一個氮原子的雜環反應性化合物。在一些具體例中,第二反應物可包括含有大於等於一個硫原子的雜環反應性化合物。在一些具體例中,第二反應物可包括含有大於等於一個氧原子的雜環反應性化合物。在一些具體例中,第二反應物可包括含有多於兩個不同原子(諸如氧、硼、氮及硫原子)的雜環反應性化合物。例如,在一些具體例中,第二反應物可包含硫碳酸酯化合物,諸如三硫碳酸伸乙酯或三硫碳酸二甲酯。在一些具體例中,第二反應物可包含噻二唑化合物,諸如二氯-噻二唑,例如,3,4-二氯-1,2,5-噻二唑。在一些具體例中,第二反應物可包含二噁烷化合物,諸如1,4-二噁烷。在一些具體例中,第二反應物可包含經取代或未經取代的不飽和環狀化合物,包括環己二烯、環戊二烯,諸如反-三(三甲基矽烷基)環己二烯及雙(三甲基矽烷基)環己二烯。
在一些具體例中,第二反應物可包含當接觸已暴露至如本文中所述之第一反應物之表面時可形成雜氮三環化合物的化合物。例如,在一些具體例中,第二反應物可包含TIPA、TIPEA、TMEA、三(2-胺乙基)胺或三乙醇胺(TEA),其當接觸已暴露至如本文中所述之第一反應物(諸如第一金屬鹵化物反應物)之表面時可形成諸如金屬雜氮三環化合物的揮發性加成物。
在一些具體例中,藉由包括使基板暴露至第一鹵化物反應物(諸如金屬鹵化物如NbCl5 )、及第二形成揮發性加成物之反應物(諸如CS2 )之ALE製程來蝕刻金屬膜(諸如過渡金屬膜)。在一些具體例中,可在諸如HfClx 、TiClx 、或TiONClx 的鹵化表面上使用CS2 以形成揮發性產物。
使待蝕刻之基板表面交替地與第一反應物及第二反應物接觸並移除揮發性加成物及反應副產物,藉此蝕刻過渡金屬膜。在一具體例中,可藉由包括使基板交替地暴露至含氯化合物諸如NbCl5 及形成加成物之化合物諸如CS2 之ALE製程來蝕刻TiN。
在一些具體例中,藉由暴露至第一鹵化物反應物來鹵化諸如Fe、Co、Ni、或Cu表面的金屬表面。接著使表面暴露至第二反應物,其形成揮發性金屬加成物並藉此蝕刻表面。
在一些具體例中,藉由ALE製程來蝕刻基板上之金屬氧化物膜,該ALE製程包括使金屬氧化物膜交替暴露至第一鹵化物反應物(諸如金屬鹵化物或CCl4 )及第二形成揮發性加成物之反應物(諸如CH3 CN、NH3 或1,4-二噁烷)的蝕刻循環。在一些具體例中,藉由交替暴露至包括金屬鹵化物或CCl4 之第一反應物及包括1,4-二噁烷之第二反應物來蝕刻基板表面上之Al2 O3 、HfO2 、TiO2 或其他金屬氧化物膜。
在一些具體例中,可單獨使用第二反應物(諸如CCl4 ),而無第一反應物,且其可以期望的蝕刻選擇性提供期望的受控蝕刻。
在一些具體例中,可單獨使用第一反應物或第二反應物來進行蝕刻,且其可以期望的蝕刻選擇性提供期望的受控蝕刻。所形成的任何副產物可藉由沖洗及/或藉由泵送來移除。
在一些具體例中,可以循環脈衝方式使用單獨的第一反應物。
在一些具體例中,使用具有流率修改或流率「脈衝」之連續流動的第一反應物。
在一些具體例中,可互換地使用第一及第二反應物來以期望的蝕刻選擇性達成期望的受控蝕刻。
在一些具體例中,可於原子層蝕刻製程中以循環方式使用本文中描述為第一反應物之兩種或更多種化合物。例如,在一些具體例中,第一反應物為NbF5 及第二反應物為反丁烯二醯氯或丙二醯鹵或任何醯鹵。
在一些具體例中,第一反應物為NbF5 及第二反應物為CCl4
在一些具體例中,第一反應物及第二反應物包含相同的鹵化物配位子。例如,第一反應物可包含金屬氯化物,諸如NbCl5 、TaCl5 、MoCl3 或WCl2 且第二反應物亦可包含Cl,諸如CCl4
在一些具體例中,第一或第二反應物中之任一者或兩者包含鹵化物且不包含氫,或包含鹵化物且不包含氧或氫。在一些具體例中,第一或第二反應物包含鹵化物但不包含氫,或包含鹵化物但不包含氧或氫。在一些具體例中,第一及第二反應物中之至少一者不為Sn(acac)2 。在一些具體例中,第一及第二反應物中之至少一者不為TMA。在一些具體例中,第一及第二反應物中之至少一者不為HF氣體。在一些具體例中,第一及第二反應物中之至少一者不為HF-吡啶。在一些具體例中,第一及第二反應物不為HF及Sn(acac)2 。在一些具體例中,第一及第二反應物不為HF及SiCl4 。在一些具體例中,不使用H。在一些具體例中,不使用TMA。在一些具體例中,不使用Sn(acac)2
在一些具體例中,可利用一或多種額外反應物。在一些具體例中,可使用一或多種反應物來改良或調整選擇性蝕刻。該些額外反應物可個別地提供,或可與一或多種反應物(諸如與第二反應物)組合。在一些具體例中,該額外反應物可為氧源。例如,該些額外反應物可包含,例如,水、氧或臭氧。
在一些具體例中,將水、氧及/或臭氧與第二反應物組合。可改變水、氧或臭氧與第二反應物之比率以調整反應,例如,調整蝕刻製程的選擇性或甚至藉由形成蝕刻終止層來終止蝕刻。
在一些具體例中,額外反應物可包含SO3 、H2 S、NH3 、肼。在一些具體例中,額外反應物可與其他第一及/或第二反應物組合使用。
在一些具體例中,額外反應物可為N2 O氣體。額外反應物可自個別來源額外地供應。
在一些具體例中,蝕刻循環額外包含使基板暴露至配位子交換物的期(phase)。在一些具體例中,該配位子交換物係選自Hacac TMA、Sn(acac)2 。在一些具體例中,該配位子交換物可由鄰位酮基(例如六氟乙醯丙酮酸根(Hhfac))、二乙醯基、thd等組成。在一些具體例中,配位子交換物係由M(thd)x 化合物組成,其中M係金屬如過渡金屬以及鹼土金屬且x可係大於1及在一些情況中大於2。在一些具體例中,金屬「M」可由至少一個「thd」及/或至少一個「acac」或兩者組成,例如Mg(thd)(acac) 等。
如前所述,在一些具體例中,ALE製程較佳係熱製程。因此,在一些具體例中,不使用電漿反應物作為第一或第二反應物。在一些具體例中,不在ALE製程中使用電漿反應物。
在一些具體例中,針對受控蝕刻,進行一或多個ALE循環,其中各循環自期望的基板表面移除材料。在一些具體例中,於各ALE循環中移除至多一單層的材料,其中假定密度不改變,每個循環移除的質量約為一單層的體積。在一些具體例中,每循環移除多於一單層。各ALE循環通常包括至少兩個不同的階段。可將基板表面之接觸及自基板移除反應物視為一階段。
在第一階段中,氣相第一反應物與待蝕刻之基板表面接觸。在一些具體例中,第一反應物形成不多於約一個經吸附物種的單層。特定而言,在一些具體例中,第一反應物與基板表面上之待移除材料的可接近基板分子反應形成經吸附物種。
在一些具體例中,第一階段為自限性。在一些實例中,情況可係基板表面分子之有限可用性與氣相第一反應物物種反應確保反應基本上為自限性。此外,形成的反應層本身可引入自限行為。
在一些具體例中,將過量的第一氣相反應物及任何反應副產物自基板表面附近移除。第一氣相反應物及任何反應副產物可藉助沖洗氣體及/或真空來自基板表面附近移除。在一些具體例中,過量的反應物及/或反應物副產物係藉由,例如,利用惰性氣體沖洗而自反應空間移除。在一些具體例中,可移除基板以利於,例如,藉由將基板移動至不同反應室來自基板附近移除反應物及/或反應物副產物。
在第二階段中,第二氣相鹵化物反應物與基板接觸且可將經吸附物種轉變為氣相反應產物。反應產物包括原始材料之原子,因此蝕刻該材料。在一些具體例中,第二反應物包含相同的鹵化物作為第一反應物。在一些具體例中,第二反應物不包含反應性物種。過量的第二反應物及氣相反應產物係,例如,藉助真空及/或沖洗氣體來自基板表面移除。在一些具體例中,過量的第二反應物及反應副產物係藉由,例如,利用惰性氣體沖洗而自反應空間移除。在一些具體例中,可移動基板以利於,例如,藉由將基板移動至不同反應室來自基板附近移除反應物及/或反應副產物。
可視需要添加額外的階段且可移除階段以調整蝕刻速率及/或影響於蝕刻膜後之殘留膜的一或多種性質,諸如調整電阻率,例如使蝕刻後的電阻率減小或增加因數或1%或大於5%或大於20%或大於50%或大於100%,改變光學性質例如使如在蝕刻後的光學參數(n,k)減小或增加因數或1%或大於5%或大於20%或大於50%或大於100%,改變膜粗糙度例如使蝕刻後的粗糙度減小或增加因數或1%或大於5%或大於20%或大於50%或大於100%,及改良蝕刻之選擇性例如使蝕刻後的選擇性減小或增加因數或1%或大於5%或大於20%或大於50%或大於100%。在一些具體例中,可單獨使用第二反應物(諸如CCl4 ),而無第一反應物,且其可以期望的蝕刻選擇性提供期望的受控蝕刻。在一些具體例中,可在一個別的階段中提供一或多種額外反應物,諸如氧反應物如氧、水或臭氧。
在一些具體例中,藉由沉積第三氣相反應物來添加第三階段。隨後可移除第三階段以調整蝕刻速率及/或影響經蝕刻材料。藉由沉積第四氣相反應物來添加第四階段。及藉由沉積額外的氣相反應物來添加額外的階段。
可在諸如Ar或He之載氣的輔助下提供反應物中的一或多種。在一些具體例中,第一反應物及第二反應物係藉助載氣來提供。在一些具體例中,載氣可在整個製程中連續地流動。在一些具體例中,載氣亦可充作沖洗氣體。
第一及第二階段一起形成自基板表面可控地移除材料的ALE蝕刻循環。可將ALE蝕刻循環重複兩次或更多次以將基板表面上之材料蝕刻至期望程度。在一些具體例中,將ALE蝕刻循環重複10、20、50、100、200、400、600、800、1000或更多次以移除期望量的材料。
在一些具體例中,其中兩個階段可重疊,或經組合。例如,第一反應物及第二反應物可在部分或完全重疊的階段中同時與基板接觸。此外,儘管稱為第一及第二階段、及第一及第二反應物,但該些階段的順序可改變,且ALE循環可以任一階段開始。
歸因於使用氣相反應物,蝕刻製程的等形性相當良好,且材料可均勻地自三維結構的所有表面移除。在一些具體例中,垂直蝕刻的等形性大於約90%及水平蝕刻的等形性大於約92%。在一些具體例中,於垂直開口中蝕刻的等形性係約50%或更大,約75%或更大,約85%或更大,約90%或更大,約95%或更大,約98%或更大,約99%或更大,及甚至高達約100%。在一些具體例中,於(例如自垂直開口)水平延伸之開口中蝕刻的等形性係約50%或更大,約75%或更大,約85%或更大,約90%或更大,約95%或更大,約98%或更大,約99%或更大,及甚至高達約100%。在一些具體例中,該製程包括多於兩個階段、多於三個階段或多於四個階段或多於五個階段被應用於循環方式。
在一些具體例中,將包含待蝕刻材料的基板(諸如半導體工件)載入至反應空間或反應器中。反應器可為於其中進行積體電路形成中之各種不同製程之群集工具的一部分。在一些具體例中,利用流動型反應器。在一些具體例中,利用噴淋頭型反應器。在一些具體例中,利用空間分割反應器。在一些具體例中,使用能夠進行大量製造的單晶圓原子層沉積反應器。 在其他具體例中,使用包括多個基板的批式反應器。
可使用的合適反應器之實例包括市售設備,諸如可購自ASM America, Inc.(亞利桑那州鳳凰城(Phoenix, Arizona))及ASM Europe B.V.(荷蘭阿爾梅勒(Almere, Netherlands))之F-120® 反應器、F-450® 反應器、 Pulsar® 反應器 - 諸如Pulsar® 2000及Pulsar® 3000 - EmerALD® 反應器及Advance® 400系列反應器。其他市售反應器包括來自ASM Japan K. K(日本東京)商品名稱為Eagle® XP 及XP8之彼等反應器。在一些具體例中,反應器為蝕刻反應器。
在一些具體例中,若需要,可預處理工件之經暴露表面以提供與ALE製程之第一階段反應的反應性部位。在一些具體例中,不需要個別的預處理步驟。在一些具體例中,預處理基板以提供期望的表面終端。在一些具體例中,利用電漿預處理基板。
將諸如第一反應物及第二反應物的反應物以氣體形式供應至反應空間。針對本說明之目的,若物種在製程條件下呈現足以將物種以足夠濃度輸送至工件以使經暴露表面飽和的蒸氣壓,則將第一反應物及第二反應物氣體視為「揮發性」。
在一些具體例中,將反應物脈衝至容納具有待蝕刻表面之基板的反應室中持續約0.01至約60秒、約0.05至約30秒、約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。在一些具體例中,脈衝時間可係大於60秒,例如高達120秒或以上。在一些具體例中,反應物與待蝕刻之基板表面接觸約0.01至約60秒、約0.05至約30秒、約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。在一些具體例中,脈衝時間可係大於60秒,例如高達120秒。熟習此項技術者可基於特定情形輕易地確定最佳時間。
如前所述,於足以使約一分子層與基板表面上之待移除材料反應並形成經吸附物種的時間後,將若有之過量第一反應物、及反應副產物自基板表面移除。在一些具體例中,移除若有之過量反應物及反應副產物可包含沖洗反應室。在一些具體例中,反應室可藉由停止第一反應物之流動,同時使載氣或沖洗氣體繼續流動足夠的時間,以使若有之過量反應物及反應副產物自反應空間擴散或排空來沖洗。反應副產物可包括,例如,氧鹵化物。在一些具體例中,過量的第一反應物係藉助在整個ALE循環中流動之惰性氣體(諸如氦或氬)來沖洗。在一些具體例中,可將基板自含有第一反應物之反應空間移動至第二個不同的反應空間。在一些具體例中,將第一反應物移除約0.01至約60秒、0.05至約30秒、約0.1秒至約10秒、約0.3秒至約5秒或約0.3秒至約1秒。在一些具體例中,其可為60秒或以上。
在第二階段中,將第二反應物(諸如CCl4 )提供至工件。通常,將第二反應物脈衝至容納具有待蝕刻表面之基板的反應室中持續約0.01至約60秒、約0.05至約30秒、約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。在一些具體例中,第二反應物與待蝕刻之基板表面接觸約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。在一些具體例中,脈衝可係大於約60秒。然而,視反應器類型、經蝕刻材料及其他製程條件(諸如表面積及溫度)而定,第二反應物接觸時間可甚至高於約10秒。在一些具體例中,接觸時間可在分鐘量級。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
第二反應物與經吸附物種反應形成包括經蝕刻材料之原子的氣相反應副產物。將過量的第二反應物及氣相反應副產物自反應室移除。在一些具體例中,移除過量反應物及反應副產物可包含沖洗反應室。在一些具體例中,反應室可藉由停止第二反應物之流動,同時使載氣或沖洗氣體繼續流動足夠的時間,以使過量反應物及反應副產物自反應空間擴散或排空來沖洗。在一些具體例中,過量的第二反應物及反應副產物係藉助惰性氣體(諸如氦或氬)來沖洗。在一些具體例中,可將基板自含有第二反應物之反應空間移動至不同的反應空間。在一些具體例中,沖洗氣體之脈衝可係約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。
根據一些具體例,ALE循環可在約20至約1200ºC 、約50至約800 ºC、約75至約600 ºC、約300 ºC至約500 ºC、或約350 ºC至約450 ºC範圍內之溫度下進行。在一些具體例中,溫度係高於約20、50或100 ºC,但低於約1000、800、600或500 ºC。在一些具體例中,循環係在約450°C之溫度下進行。
反應室中之壓力通常為約10E-9托(torr)至約760托,或約0.001至約100托。然而,在一些情況中,壓力將高於或低於此範圍,如可由熟悉技藝人士給定特定情勢所決定。在一些情況中,反應器可以等溫(諸如熱壁)或非等溫(諸如冷壁)條件操作。在一些情況中,反應器不與蝕刻化學物質交互作用且亦可不與基板交互作用。在一些情況中,反應器可為熱壁、冷壁及甚至溫壁類型之反應室。
包含待蝕刻之材料(亦稱為目標材料)的基板可採取各種形式。在一些具體例中,基板可為積體電路工件或其他基板。待蝕刻之目標材料可包括基板表面上之薄膜。在一些具體例中,目標材料係基板上之三維結構上的薄膜。包含待蝕刻之薄膜或其他材料的基板可包括各種類型的其他材料。例如,在一些具體例中,除了蝕刻製程的目標材料外,基板尚可包含矽。在一些具體例中,蝕刻製程相對於基板上或反應室中之其他材料具選擇性。在一些具體例中,以循環方式供應單獨的第一反應物、或單獨的第二反應物、或第一以及第二反應物來改良選擇性。
在一些具體例中,待蝕刻之目標材料包括金屬,諸如Ir、Ru、Rh、Mo、Cu、Sb、Al、Ti、Co、Ni、Ta、Al、Zr、Hf、或W。在一些具體例中,待蝕刻之材料包括下列中之一或多者:W、WO3 、AlN、TiN、TiO2 、GaN、MoN、CoP、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、及HfOx (例如,HfO2 )。在一些具體例中,待蝕刻的材料包括金屬氮化物或金屬氧化物或其混合物。
在一些具體例中,藉由包括使包含薄膜之基板交替及依序地與NbF5 及CCl4 接觸之ALE製程來蝕刻包含W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 及HfO2 中之一或多者的薄膜。在一些具體例中,藉由包括使包含薄膜之基板交替及依序地與第一反應物及第二反應物接觸之ALE製程來蝕刻包含W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 及HfO2 中之一或多者的薄膜,其中該第一反應物及該第二反應物包括相同的鹵化物。
在一些具體例中,ALE製程具有約0.01至約5 Å/循環之平均蝕刻速率。蝕刻速率係定義為於各循環後移除之材料量或膜厚度,基於實際理由,其可於1個蝕刻循環或多於2個蝕刻循環或多於5個或甚至高於20個或有時高於50個循環之後計算。在一些具體例中,平均蝕刻速率係約0.01至0.1 Å/循環或0.1至約2 Å/循環或在一些情況中甚至高於2 Å/循環。在一些具體例中,平均蝕刻速率係高於約0.1 Å/循環,高於約0.5 Å/循環,高於約1.0 Å/循環,高於約2.0 Å/循環,高於約3.0 Å/循環,高於約5.0 Å/循環,高於約10 Å/循環或高於約20 Å/循環,且在一些實例中,若施行具有流率改變的連續流動或暴露時間足夠長,則蝕刻速率可係高於約30 Å/循環,高於約50 Å/循環或高於約100 Å/循環。
在一些具體例中,蝕刻選擇性,即自期望表面/材料移除之材料(原子/分子的厚度、質量或量)相對自非期望表面/材料或表面/材料移除之材料的比率,係高於約2:1,高於約3:1,高於約5:1,高於約7:1,高於約10:1,高於約15:1,高於約20:1,高於約30:1,高於約50:1,高於約100:1,高於約1000:1。在一些具體例中,未自非期望表面/材料移除實質量的材料。
在一些具體例中,第一或第二反應物之流動可高於2 sccm,可高於10 sccm或有時甚至高於50 sccm或可高於100 sccm或高於500 sccm等。在一些具體例中,第一反應物可連續地流入至反應室中,同時第二反應物係間歇地流動。
圖1係大致描述ALE方法之一具體例的流程圖。圖1中描述之ALE方法包括第一暴露步驟100、第一移除步驟110、第二暴露步驟120、及第二移除步驟130。
在一些具體例中,在第一暴露步驟100中將具有蝕刻目標材料之基板置於反應室中並暴露至第一氣相反應物。蝕刻目標通常經暴露至第一氣相反應物持續如以上所提供之時段。在一些具體例中,脈衝時間係約0.1至10秒,或0.1至5秒。
於第一暴露步驟100之後,在第一移除步驟110中將過量的第一氣相反應物自反應室移除。反應室可利用真空泵抽空及/或藉由利用諸如氬或氮之惰性氣體置換反應器內部之氣體。第一移除步驟110通常可耗時約0.05至20秒。然而,若需要,移除步驟可耗時更多或更少時間。
隨後在第二暴露步驟120中使基板暴露至第二氣相反應物。第二反應物可與第一氣相反應物相同。通常使蝕刻目標暴露至第二氣相反應物持續如以上所述之時段,例如約0.1秒至10秒。
於第二暴露步驟120之後,在第二移除步驟130中將過量的第二氣相鹵化物反應物及揮發性反應副產物自反應室移除。在一些具體例中,第一暴露步驟100、第一移除步驟110、第二暴露步驟120、及第二移除步驟130形成ALE蝕刻循環150,其可經重複直至獲得期望的目標材料蝕刻量。在一些具體例中,可將第一暴露步驟100、第一移除步驟110、第二暴露步驟120、及第二移除步驟130重複10、20、50、100、200、500、1000或更多個循環。
在一些具體例中,蝕刻目標材料包含金屬如W、Pt、Cu、Ni、Co、Ti、Zn、Nb、Mo、Ta等。在一些具體例中,蝕刻目標材料包含金屬氮化物,例如MoN、NbN、SiN、TiN、TaN、WN、AlN等。在一些具體例中,蝕刻目標材料包含碳化物如SiC、TiC、TaC、AlC、HfC、MoC、NbC等。在一些具體例中,蝕刻目標材料包含氧化物,諸如介電氧化物,例如AlOx 、ZrOx 、HfOx 、TiOx 、TaOx 、NbOx 、MoOx 、SiOx 、LaOx 等。在一些具體例中,蝕刻目標材料包含2D材料及/或硫化物如WS2 、MoS2 、TiS2 、SnS2 等。在一些具體例中,蝕刻目標材料包含金屬氧氮化物如TiONx ;金屬碳氮化物,諸如WNC;氧碳化物,例如及元素基板如Si、C、a-C、石墨烯等。
在一些具體例中,第一反應物包含Nb、Ta、Mo或W。
參照圖2,根據一些具體例,藉由包括至少一個蝕刻循環步驟240的ALE製程蝕刻於反應空間中在基板上包含W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 或HfO2 之蝕刻目標薄膜,該蝕刻循環步驟240包括:於步驟200使基板與不包含受激物種之氣相金屬氯化物反應物接觸,使得金屬氯化物反應物與基板表面上之薄膜反應形成經吸附物種;於步驟210自基板表面移除若有之過量的金屬氯化物反應物及反應副產物;於步驟220使基板與不包含反應性物種之第二氯化物反應物接觸,藉此將經吸附物種轉變為包括蝕刻目標薄膜之原子的氣相反應副產物;於步驟230自基板表面移除若有之過量的第二氯化物反應物及反應副產物;及於蝕刻循環步驟240視情況重複接觸及移除步驟以將蝕刻目標薄膜蝕刻至期望程度。
參照圖3,根據一些具體例,藉由包括至少一個蝕刻循環步驟340的ALE製程蝕刻於反應空間中在基板上包含W、TiN、TiO2 、SiOC、SiCN、SiOCN、SiON、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 或HfO2 之蝕刻目標薄膜,該蝕刻循環步驟340包括:於步驟300使基板與氣相NbF5 接觸;於步驟310自基板表面移除若有之過量的NbF5 及反應副產物;於步驟320使基板與氣相CCl4 接觸;於步驟330自基板表面移除過量的CCl4 及反應副產物;及於蝕刻循環步驟340視情況重複接觸及移除步驟以將蝕刻目標薄膜蝕刻至期望程度。
參照圖4,根據一些具體例,藉由包括至少一個蝕刻循環步驟440的ALE製程蝕刻於反應空間中在基板上包含W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 或HfO2 之蝕刻目標薄膜,該蝕刻循環步驟440包括:於步驟400使基板與不包含受激物種之氣相金屬鹵化物反應物接觸,使得金屬鹵化物反應物與基板表面上之薄膜反應形成經吸附物種;於步驟410自基板表面移除若有之過量的金屬鹵化物反應物及反應副產物;於步驟420使基板與不包含反應性物種之第二有機反應物接觸,藉此將經吸附物種轉變為包括蝕刻目標薄膜之原子的氣相反應副產物;於步驟430自基板表面移除若有之過量的第二有機反應物及反應副產物;及於蝕刻循環步驟440視情況重複接觸及移除步驟以將蝕刻目標薄膜蝕刻至期望程度。
參照圖5,根據一些具體例,藉由包括至少一個蝕刻循環步驟540的ALE製程蝕刻於反應空間中在基板上包含W、TiN、TiO2 、TaN、SiN、SiOx 、AlOx 、AlO2 、Al2 O3 、ZrOx 、ZrO2 、WO3 、AlN、HfOx 或HfO2 之蝕刻目標薄膜,該蝕刻循環步驟540包括:於步驟500使基板與不包含受激物種之氣相金屬鹵化物反應物接觸,使得金屬鹵化物反應物與基板表面上之薄膜反應形成經吸附物種;於步驟510自基板表面移除若有之過量的金屬鹵化物反應物及反應副產物;於步驟520使基板與不包含反應性物種之第二加成物形成反應物接觸,藉此將經吸附物種轉變為包括蝕刻目標薄膜之原子的揮發性加成物;於步驟530自基板表面移除若有之過量的第二加成物形成反應物及反應副產物;及於蝕刻循環步驟540視情況重複接觸及移除步驟以將蝕刻目標薄膜蝕刻至期望程度。
根據一些具體例,藉由包括至少一個蝕刻循環的ALE製程蝕刻於反應空間中在基板上包含Al2 O3 、HfO2 、TiO2 或另一金屬氧化物之蝕刻目標薄膜,該蝕刻循環包括:使基板與不包含受激物種之包含金屬鹵化物或CCl4 之第一氣相反應物接觸,使得第一反應物與基板表面上之薄膜反應形成經吸附物種;自基板表面移除若有之過量的第一反應物及反應副產物;使基板與不包含反應性物種之包含1,4-二噁烷的第二有機反應物接觸,藉此將經吸附物種轉變為包括蝕刻目標薄膜之原子的揮發性加成物;自基板表面移除若有之過量的第二有機反應物及反應副產物;及視情況重複接觸及移除步驟以將蝕刻目標薄膜蝕刻至期望程度。實施例
使用熱ALE來蝕刻 SiO2 (熱及天然)、TiN、TiOx 、TaN、AlOx 、AlN、ZrOx 及HfOx 之薄膜。ALE循環包括使包含相關膜之基板交替及依序地與NbF5 及CCl4 ;NbF5 及CCl4 與H2 O之混合物;NbF5 及CCl4 與O3 之混合物;或單獨的CCl4 接觸。如下表1所示,觀察各種類型薄膜之各者的蝕刻,其中蝕刻速率在約0.1 Å/循環至約1.8 Å/循環範圍內。未觀察到SiO2 膜或SiOx 或SiNx 之蝕刻。 表1
反應物1 反應物2 T反應 蝕刻速率(Å/循環)
NbF5 CCl4 458 TiN TiOx TaN AlOx AlN ZrOx HfOx
~0.2 1.8 是(0.5) 0.1-1.6 0.4 0.1-1.8
NbF5 CCl4 + H2 O 458 移除塊體
NbF5 CCl4 + O3 458 移除塊體
  CCl4 458 0.1 - 0.4 -
- 未測試
圖6係顯示於熱ALE加工後,SiO2 、TiN、TiO2 、SiN、TaN、ZrO2 、及Al2 O3 之質量、厚度、及薄片電阻之差異的圖。將包含各材料之薄膜的基板置於Pulsar 2000™反應器中。熱ALE循環包括NbF5 及CCl4 之交替及依序脈衝。基板溫度係約450°C(基座溫度465°C及頂板溫度405°C)。針對SiO2 、TiN、TiO2 、SiN、及TaN膜於1000個ALE循環後及針對ZrO2 、Al2 O3 及TiO2 膜於100個循環後,測量質量、厚度及薄片電阻 由圖觀察到TiN、TiO2 、TaN、ZrO2 、及Al2 O3 的明顯改變。關於TiO2 ,於1000個蝕刻循環後,膜厚度減小約40 nm,消耗了整個層。於100個循環後,厚度減小約20 nm。關於TaN,於1000個循環後,質量減小約22 mg及薄片電阻減小約11 Ω/□。關於ZrO2 ,於100個循環後,厚度減小約5 nm。關於Al2 O3 ,於100個循環後,厚度減小約11 nm。
圖7係於使用NbF5 及CCl4 在約450°C之反應溫度下不同數目之ALE循環後,TiN及TaN膜之重量及薄片電阻變化的圖。視覺檢查揭示於400個循環後於晶圓中心處完全移除20 nm TiN 膜。
圖8係顯示經進行多種如本文中所述且根據一些具體例之熱原子層蝕刻製程之AlN、TiN、HFO2 、及TaN目標膜之經移除質量(毫克(mg))的圖。針對各製程以多種反應、或蝕刻溫度蝕刻各目標膜。意料之外地發現包括NbF5 作為第一反應物及三乙基鋁(TEA)或三甲基鋁(TMA)作為第二反應物之原子層蝕刻製程導致自TaN及AlN目標膜移除質量。
圖9係顯示經進行多種如本文中所述且根據一些具體例之熱原子層蝕刻製程之TiN、AlN、AlOx 、HfOx 、TaN、SiN、及熱氧化物目標膜之經移除質量(mg)的圖。針對各製程以多種蝕刻溫度蝕刻各目標膜。意料之外地發現包括NbF5 及TEA作為第一及第二反應物之ALE製程、使用TEA及CCl4 作為第一及第二反應物之ALE製程、及使用NbF5 、TEA、及CCl4 作為反應物之ALE製程導致自目標膜移除質量。
熟習此項技術者應理解,可進行各種修改及變化而不背離本發明之範疇。類似的其他修改及變化均意欲屬於如隨附申請專利範圍所界定之本發明之範疇。
100:第一暴露步驟 110:第一移除步驟 120:第二暴露步驟 130:第二移除步驟 150、240、340、440、540:蝕刻循環步驟 200、210、220、230、300、310、320、330、400、410、420、430、500、510、520、530:步驟
圖1係大致說明根據一些具體例之熱原子層蝕刻方法的流程圖。
圖2係大致說明根據一些具體例使用氯化物反應物之熱原子層蝕刻方法的流程圖。
圖3係大致說明根據一些具體例使用NbF5 及CCl4 作為反應物之熱原子層蝕刻方法的流程圖。
圖4係大致說明根據一些具體例使用第一氯化物反應物及第二有機反應物之熱原子層蝕刻方法的流程圖。
圖5係大致說明根據一些具體例使用第一鹵化物反應物及第二加成物形成反應物之熱原子層蝕刻方法的流程圖。
圖6係顯示於使用NbF5 及CCl4 作為反應物之ALE加工後,SiO2 TiN、AlN、TiO2 、SiN、TaN、ZrO2 、及Al2 O3 膜之質量、厚度、及薄片電阻之差異的圖。
圖7係顯示於使用NbF5 及CCl4 作為反應物之不同數目之ALE循環後,包含TiN及TaN膜之基板之重量及薄片電阻的圖。
圖8係顯示根據一些具體例於使用各種反應物及蝕刻溫度之ALE加工後,AlN、TiN、HFO2 、及TaN膜之經移除質量的圖。
圖9係顯示根據一些具體例於使用各種蝕刻反應物及蝕刻溫度之ALE加工後,TiN、AlN、AlOx 、HfOx 、TaN、SiN、及熱氧化物膜之經移除質量的圖。
100:第一暴露步驟
110:第一移除步驟
120:第二暴露步驟
130:第二移除步驟
150:蝕刻循環步驟

Claims (31)

  1. 一種藉由化學原子層蝕刻在反應室中蝕刻基板上之膜的方法,所述方法包括多個蝕刻循環,各循環包括: 使所述基板暴露至第一氣相非金屬氧鹵化物反應物;以及 從所述反應室中移除過量的所述第一氣相非金屬氧鹵化物反應物以及副產物, 其中所述基板在所述蝕刻循環期間未與電漿反應物接觸。
  2. 如請求項1所述的方法,更包括暴露所述基板至氣相金屬或半金屬鹵化物反應物。
  3. 如請求項2所述的方法,其中所述氣相金屬或半金屬鹵化物反應物包括Te、Sb、As、Nb、Ta、Mo、Sn、V、Re、Te、W或第6族過渡金屬。
  4. 如請求項2所述的方法,其中所述氣相金屬或半金屬鹵化物反應物包括硫原子。
  5. 如請求項2所述的方法,其中所述氣相金屬或半金屬鹵化物反應物包括氧原子。
  6. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括碳原子、氧原子以及鹵素原子。
  7. 如請求項1所述的方法,更包括暴露所述基板至第二氣相氧鹵化物反應物。
  8. 如請求項7所述的方法,其中所述蝕刻循環更包括暴露所述基板至不同於所述第一氣相非金屬氧鹵化物反應物及所述第二氣相氧鹵化物反應物的第三氣相反應物。
  9. 如請求項8所述的方法,其中所述第三氣相反應物包括氧。
  10. 如請求項9所述的方法,其中所述第三氣相反應物包括H2 O2 、HCOOH、H2 O、O2 或O3
  11. 如請求項8所述的方法,其中所述第三氣相反應物包括配位子交換物。
  12. 如請求項11所述的方法,其中所述第三氣相反應物包括三甲基鋁(TMA)、Hacac、Sn(acac)2 或六氟乙醯丙酮(Hhfac)。
  13. 如請求項8所述的方法,其中所述第三氣相反應物包括加成物形成反應物。
  14. 如請求項13所述的方法,其中所述加成物形成反應物包括CS2 、CH3 CN、NH3 、SO3 、三(2-胺乙基)胺、三乙醇胺、吡啶、四氫呋喃(THF)、二甲亞碸(DMSO)、四氫噻吩、1,4-二噁烷、異氰酸酯、多元醇、乙醇胺、碸類、三氯甲烷、烷基或經取代異硫氰酸酯、三氯硝基甲烷、烷基或芳基或經取代腈、異腈、二胺、二硫酮、TIPA、TIPEA、TMEA或雜環反應性化合物。
  15. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括半金屬。
  16. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物不包括碳。
  17. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物不包括烴基。
  18. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物不包括氫。
  19. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物不包括氫或碳。
  20. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括硫原子、鹵素原子及氧原子。
  21. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括鹵素原子及氮原子。
  22. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括硫原子、鹵素原子及氮原子。
  23. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括硫原子、鹵素原子、氧原子及氮原子。
  24. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括硫原子、鹵素原子、碳原子及氮原子。
  25. 如請求項1所述的方法,其中所述第一氣相非金屬氧鹵化物反應物包括硫原子、鹵素原子、碳原子、氧原子及氮原子。
  26. 如請求項1所述的方法,其中所述基底包括暴露至所述第一氣相非金屬氧鹵化物反應物的兩種或更多種不同的材料,以及相對於其他材料具選擇性蝕刻的一種材料。
  27. 如請求項1所述的方法,其中所述膜包括金屬氧化物、金屬碳化物、金屬氮化物或為元素膜。
  28. 如請求項27所述的方法,其中所述膜包括Ti、Mo、Cu、Co、W、Si、Ta、Al、Zr、Hf、Ge、Pt、Ni、Zn、Nb、Ir、Ru、Rh或Sb。
  29. 如請求項1所述的方法,其中在所述蝕刻循環期間,所述基板的溫度係150°C至600°C。
  30. 如請求項1所述的方法,其中所述基板為半導體晶圓。
  31. 如請求項1所述的方法,其中所述方法的平均蝕刻速率為0.01 Å/循環至5 Å/循環。
TW110110520A 2016-12-09 2017-12-08 蝕刻基板上之膜的方法 TWI751059B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201662432318P 2016-12-09 2016-12-09
US62/432,318 2016-12-09
US201762449945P 2017-01-24 2017-01-24
US62/449,945 2017-01-24
US201762455989P 2017-02-07 2017-02-07
US62/455,989 2017-02-07
US201762485330P 2017-04-13 2017-04-13
US62/485,330 2017-04-13

Publications (2)

Publication Number Publication Date
TW202130852A true TW202130852A (zh) 2021-08-16
TWI751059B TWI751059B (zh) 2021-12-21

Family

ID=62488576

Family Applications (6)

Application Number Title Priority Date Filing Date
TW110123293A TWI773405B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW110143440A TWI779933B (zh) 2016-12-09 2017-12-08 蝕刻基板上之膜的方法
TW110110520A TWI751059B (zh) 2016-12-09 2017-12-08 蝕刻基板上之膜的方法
TW106143058A TWI734874B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW111125351A TWI809971B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW106143075A TWI726178B (zh) 2016-12-09 2017-12-08 蝕刻基板上之膜的方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW110123293A TWI773405B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW110143440A TWI779933B (zh) 2016-12-09 2017-12-08 蝕刻基板上之膜的方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW106143058A TWI734874B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW111125351A TWI809971B (zh) 2016-12-09 2017-12-08 熱原子層蝕刻製程
TW106143075A TWI726178B (zh) 2016-12-09 2017-12-08 蝕刻基板上之膜的方法

Country Status (6)

Country Link
US (10) US10280519B2 (zh)
JP (4) JP7062658B2 (zh)
KR (6) KR102410571B1 (zh)
CN (2) CN110050331B (zh)
TW (6) TWI773405B (zh)
WO (1) WO2018106955A1 (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
CN110050331B (zh) 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
JP6936700B2 (ja) * 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102016927B1 (ko) * 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
WO2019118684A1 (en) * 2017-12-14 2019-06-20 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
US11205700B2 (en) * 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
KR20200096406A (ko) * 2019-02-01 2020-08-12 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
US11380523B2 (en) 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
JP7193731B2 (ja) * 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN113728126A (zh) * 2019-05-15 2021-11-30 昭和电工株式会社 金属除去方法、干蚀刻方法和半导体元件的制造方法
TW202322215A (zh) * 2019-06-11 2023-06-01 美商應用材料股份有限公司 使用氟及金屬鹵化物來蝕刻金屬氧化物
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
JP2022551523A (ja) * 2019-10-11 2022-12-09 クアンタム-エスアイ インコーポレイテッド 気相における表面修飾
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
JP7096279B2 (ja) 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
CN114126731A (zh) * 2020-05-29 2022-03-01 昭和电工株式会社 干蚀刻方法、半导体元件的制造方法和清洁方法
CN113838744A (zh) 2020-06-24 2021-12-24 Asm Ip私人控股有限公司 区域选择性有机材料去除
US11545397B2 (en) * 2020-07-15 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device and method for forming the same
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2022050099A1 (ja) * 2020-09-01 2022-03-10 株式会社Adeka エッチング方法
US20230335378A1 (en) * 2020-09-18 2023-10-19 Lam Research Corporation Passivation chemistry for plasma etching
US11488835B2 (en) * 2020-11-20 2022-11-01 Applied Materials, Inc. Systems and methods for tungsten-containing film removal
US20230027528A1 (en) * 2020-12-10 2023-01-26 Hitachi High-Tech Corporation Semiconductor manufacturing method and semiconductor manufacturing apparatus
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
EP4053879A1 (en) * 2021-03-01 2022-09-07 Imec VZW Deposition of highly crystalline 2d materials
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides
CN112986482B (zh) * 2021-03-11 2023-07-07 中国电子科技集团公司第四十六研究所 用于氮化铝单晶抛光片(0001)面的极性面区分方法
KR20220166786A (ko) * 2021-06-09 2022-12-19 주식회사 히타치하이테크 반도체 제조 방법 및 반도체 제조 장치
WO2023107867A1 (en) * 2021-12-08 2023-06-15 Lam Research Corporation Control of etch profiles in high aspect ratio holes via thermal atomic layer etching
WO2023150520A1 (en) * 2022-02-03 2023-08-10 Merck Patent Gmbh Atomic layer etching of metals using co-reactants as halogenating agents

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255230A (en) * 1980-02-22 1981-03-10 Eaton Corporation Plasma etching process
JPH0387026A (ja) * 1988-12-13 1991-04-11 Fujitsu Ltd 半導体装置の製造方法
JPH05206088A (ja) * 1992-01-29 1993-08-13 Fujikura Ltd 半導体の加工方法
JP2682479B2 (ja) * 1994-12-26 1997-11-26 日本電気株式会社 ドライエッチング方法
KR970009860A (ko) 1995-08-07 1997-03-27 장수영 압축에 의한 습기 제거 방법
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US5998297A (en) * 1996-10-23 1999-12-07 Texas Instruments Incorporated Method of etching copper or copper-doped aluminum
JP3750231B2 (ja) 1996-11-20 2006-03-01 ソニー株式会社 積層配線の形成方法
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
JP2004063633A (ja) * 2002-07-26 2004-02-26 Fujitsu Ltd 半導体レーザの製造方法
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7211477B2 (en) 2005-05-06 2007-05-01 Freescale Semiconductor, Inc. High voltage field effect device and method
KR100707983B1 (ko) 2005-11-28 2007-04-16 주식회사 에이이티 산화막의 원자층 에칭방법
JP4866898B2 (ja) 2006-03-30 2012-02-01 三井造船株式会社 原子層成長装置
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20090088547A1 (en) 2006-10-17 2009-04-02 Rpo Pty Limited Process for producing polysiloxanes and use of the same
US7911001B2 (en) 2007-07-15 2011-03-22 Samsung Electronics Co., Ltd. Methods for forming self-aligned dual stress liners for CMOS semiconductor devices
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
KR20110098355A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
GB2480228B (en) 2010-03-08 2015-05-20 Gskolen I Buskerud Og Vestfold H Speckle reduction
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130312663A1 (en) 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
JP6297269B2 (ja) 2012-06-28 2018-03-20 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ポリマー組成物、このポリマー組成物を含むフォトレジスト、およびこのフォトレジストを含むコーティングされた物品
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
WO2014094103A1 (en) 2012-12-18 2014-06-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9447497B2 (en) 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
TWI591211B (zh) * 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
KR101465338B1 (ko) 2013-06-07 2014-11-25 성균관대학교산학협력단 산화 알루미늄에 대한 저손상 원자층 식각 방법
JP2015032597A (ja) 2013-07-31 2015-02-16 日本ゼオン株式会社 プラズマエッチング方法
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
WO2015160412A2 (en) 2014-01-24 2015-10-22 The Regents Of The University Of Colorado Novel methods of preparing nanodevices
WO2015142954A1 (en) 2014-03-18 2015-09-24 The Regents Of The University Of California Metal-organic frameworks characterized by having a large number of adsorption sites per unit volume
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
WO2015153742A1 (en) 2014-04-01 2015-10-08 Montana State University Process of converting natural plant oils to biofuels
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
WO2016100873A1 (en) * 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
JP2016134569A (ja) 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
JP6532066B2 (ja) 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
WO2016172740A2 (en) * 2015-11-10 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching reactants and plasma-free oxide etching processes using the same
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10982336B2 (en) 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US20200313093A1 (en) 2016-05-20 2020-10-01 Brown University Method for Manufacturing Perovskite Solar Cells and Multijunction Photovoltaics
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
WO2017205658A1 (en) 2016-05-25 2017-11-30 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching on microdevices and nanodevices
US20170345665A1 (en) 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
JP6766184B2 (ja) 2016-06-03 2020-10-07 インテグリス・インコーポレーテッド ハフニア及びジルコニアの蒸気相エッチング
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
JP2020502360A (ja) 2016-10-25 2020-01-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ケイ素含有薄膜の生成方法
CN110050331B (zh) 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
WO2019034994A1 (en) 2017-08-16 2019-02-21 3M Innovative Properties Company POLYMER IONOMER SEPARATION MEMBRANES AND METHODS OF USE
CN112840039A (zh) 2018-10-05 2021-05-25 朗姆研究公司 处理室表面移除金属污染物
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
JP2021019202A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 中間チャンバーを備える半導体気相エッチング装置
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching

Also Published As

Publication number Publication date
KR20180066873A (ko) 2018-06-19
TW202208677A (zh) 2022-03-01
JP2023159315A (ja) 2023-10-31
US10280519B2 (en) 2019-05-07
US20200308710A1 (en) 2020-10-01
US20200308709A1 (en) 2020-10-01
US20220119961A1 (en) 2022-04-21
TW202240016A (zh) 2022-10-16
US11230770B2 (en) 2022-01-25
US11739428B2 (en) 2023-08-29
TWI734874B (zh) 2021-08-01
CN116779435A (zh) 2023-09-19
TWI751059B (zh) 2021-12-21
US20190249312A1 (en) 2019-08-15
US20240026548A1 (en) 2024-01-25
US20220119962A1 (en) 2022-04-21
JP2020501373A (ja) 2020-01-16
US10273584B2 (en) 2019-04-30
TW202138615A (zh) 2021-10-16
JP7470763B2 (ja) 2024-04-18
US20180163312A1 (en) 2018-06-14
TWI779933B (zh) 2022-10-01
WO2018106955A1 (en) 2018-06-14
KR20220086713A (ko) 2022-06-23
TW201835380A (zh) 2018-10-01
CN110050331A (zh) 2019-07-23
KR102459105B1 (ko) 2022-10-26
KR102313137B1 (ko) 2021-10-18
JP7062658B2 (ja) 2022-05-06
US11230769B2 (en) 2022-01-25
US20180166255A1 (en) 2018-06-14
US20230374671A1 (en) 2023-11-23
US20190242019A1 (en) 2019-08-08
TWI809971B (zh) 2023-07-21
US10662534B2 (en) 2020-05-26
CN110050331B (zh) 2023-07-25
JP2022043274A (ja) 2022-03-15
KR20190093593A (ko) 2019-08-09
TW201835379A (zh) 2018-10-01
TW202305173A (zh) 2023-02-01
KR102410571B1 (ko) 2022-06-22
US10662533B2 (en) 2020-05-26
TWI773405B (zh) 2022-08-01
TWI726178B (zh) 2021-05-01
KR102292077B1 (ko) 2021-08-23
KR20220149484A (ko) 2022-11-08
JP2022185111A (ja) 2022-12-13
KR20210126538A (ko) 2021-10-20
JP7161024B2 (ja) 2022-10-25
KR20210106577A (ko) 2021-08-30
US11739427B2 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
TWI726178B (zh) 蝕刻基板上之膜的方法
TWI839837B (zh) 蝕刻基板上之膜的方法