KR20220086713A - 열적 원자층 식각 공정 - Google Patents

열적 원자층 식각 공정 Download PDF

Info

Publication number
KR20220086713A
KR20220086713A KR1020227020186A KR20227020186A KR20220086713A KR 20220086713 A KR20220086713 A KR 20220086713A KR 1020227020186 A KR1020227020186 A KR 1020227020186A KR 20227020186 A KR20227020186 A KR 20227020186A KR 20220086713 A KR20220086713 A KR 20220086713A
Authority
KR
South Korea
Prior art keywords
reactant
halide
substrate
vapor phase
metal
Prior art date
Application number
KR1020227020186A
Other languages
English (en)
Inventor
톰 에. 블롬베르그
치유 주
마르코 이. 투오미넨
수비 프. 하우카
바룬 샤르마
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220086713A publication Critical patent/KR20220086713A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • C23F4/02Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00 by evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/10Etching, surface-brightening or pickling compositions containing an inorganic acid containing a boron compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • ing And Chemical Polishing (AREA)
  • Weting (AREA)
  • Photovoltaic Devices (AREA)

Abstract

열적 원자층 식각 공정이 개시된다. 일부 구현예에서, 방법은 기판이 제1 기상 할라이드 반응물 및 제2 기상 할라이드 반응물에 교대 순차적으로 노출되는 적어도 하나의 식각 사이클을 포함한다. 일부 구현예에서, 제1 반응물은 유기 할라이드 화합물을 포함할 수 있다. 열적 ALE 공정 동안, 기판은 플라즈마 반응물과 접촉하지 않는다.

Description

열적 원자층 식각 공정{Thermal Atomic Layer Etching Processes}
관련 출원의 상호 참조
본 출원은 2016년 12월 9일 출원한 미국 가출원 제62/432,318호, 2017년 1월 24일 출원한 미국 가출원 제62/449,945호, 2017년 2월 7일 출원한 미국 가출원 제62/455,989호, 및 2017년 4월 13일 출원한 미국 가출원 제62/485,330호의 우선권을 주장한다.
기술분야
본 출원은 식각 공정에 관한 것으로, 보다 구체적으로는 순차 반응을 이용한 열적 원자층 식각 공정에 관한 것이다.
원자층 증착(ALD)과 같은 기상 증착 공정은 공지되어 있다. ALD 공정에는 일반적으로 기상 반응물의 교대 순차적인 펄스가 사용되어, 제어되고 고도로 컨포멀(conformal)한 방식으로 단층 이하의 재료를 증착한다. ALD에 의해 증착된 박막은 집적 회로의 형성과 같은 매우 다양한 응용 분야에 사용된다. 재료 제거를 제어하는 것 또한 매우 필요하다. ALD와 반대로, 원자층 식각(ALE)은 기상 반응물의 순차적 펄스가 사용되어 각각의 반응 사이클에서 재료를 기판으로부터 제거한다. 일반적인 ALE 공정에서는 제1 반응물을 사용하여 제1 종을 기판 표면에 형성한 다음, 플라즈마로부터 생성된 여기된 제2 종으로 제1 반응물을 제거한다.
일부 구현예에서, 기판 상의 막은 하나 이상의 식각 사이클을 포함하는 화학 원자층 식각 공정에 의해 반응 챔버 내에서 식각된다. 각각의 식각 사이클은 기판을 비금속 할라이드 반응물과 같은 제1 기상 할라이드 반응물에 노출시켜 기판 표면 상에 흡착된 종을 형성하는 단계 및 이어서 기판을 제2 기상 반응물에 노출시키는 단계를 포함하되, 제2 기상 반응물은 상기 흡착된 종을 식각될 기판으로부터 하나 이상의 원자를 포함하는 휘발성 종으로 전환한다. 이러한 방식으로, 각 식각 사이클에서 적어도 일부 재료가 막으로부터 제거된다.
일부 구현예에서, 비금속 할라이드 반응물과 같은 제1 기상 반응물은 제1 할라이드 리간드를 포함하고, 제2 기상 반응물은 제2 할라이드 리간드를 포함한다. 일부 구현예에서, 식각 사이클 동안, 기판은 플라즈마 반응물과 접촉하지 않는다. 일부 구현예에서, 식각 사이클은 2회 이상 반복된다. 일부 구현예에서, 제1 기상 할라이드 반응물은 금속을 포함할 수 있다.
일부 구현예에서, 휘발성 부가물은 아트란 화합물을 포함한다. 일부 구현예에서, 아트란 화합물은 트리스(2-아미노에틸)아민 또는 트리에탄올아민으로부터 형성된다.
일부 구현예에서, 막은 W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, SiOCN, SiOC, SiCN, AlN 및 HfO2 중 적어도 하나를 포함한다.
식각될 기판은 TiN 또는 TaN과 같은 금속 질화물을 포함하고 제2 기상 반응물은 루이스 산을 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 금속 할라이드를 포함한다. 일부 구현예에서, 금속은 Nb, Ta, Mo, Sn, V, Re, Te, W, 또는 5 또는 6족 전이 금속을 포함한다. 일부 구현예에서, 제1 기상 할라이드 반응물은 Sb 또는 Te을 포함한다. 일부 구현예에서, 할라이드는 염화물, 불화물, 브롬화물, 또는 요오드화물을 포함한다. 일부 구현예에서, 제1 기상 할라이드 반응물은 NbF5를 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 금속을 포함하지 않는다. 일부 구현예에서, 제1 기상 할라이드 반응물은 유기 할라이드 화합물을 포함한다. 일부 구현예에서, 제1 기상 할라이드 반응물은 유기 리간드를 포함하는 알킬 할라이드, 아실 할라이드, 술포닐 할라이드, 술페닐 할라이드, 셀레닐 할라이드, 또는 보론 할라이드를 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 불화술폰산(fluorosulfonic acid), 삼불화메탄술폰산(trifluoromethanesulfonic acid), 삼불화메틸 삼불화메탄술폰산염(trifluoromethyl trifluoromethanesulfonate), 또는 1-클로로 2-(펜타플루오로설푸란일옥시)에탄(1-chloro 2- (pentafluorosulfuranyloxy)ethane)을 포함한다.
일부 구현예에서, 제1 기상 반응물은 클로로술포닐 이소시안산염(chlorosulfonyl isocyanate) 또는 N,N-디메틸설파모일 염화물(N,N-dimethylsulfamoyl chloride)을 포함한다.
일부 구현예에서, 제1 기상 반응물은 붕소, 수소 및 할라이드를 포함한다. 일부 구현예에서, 제2 기상 할라이드 반응물은 인, 산소, 및 할라이드를 포함한다. 일부 구현예에서, 제1 기상 할라이드 반응물은 안티몬 및 할라이드를 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 하나 이상의 CF3기를 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 시클로헥산디엔(chd) 또는 시클로펜타디엔 등과 같은 고리형 화합물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 α,β- 불포화 카보닐 화합물, 예를 들어 메틸 비닐 케톤 등과 같은 에논(enone)을 포함할 수 있다.
일부 구현예에서, 제2 기상 반응물은 루이스 염기를 포함한다. 일부 구현예에서, 루이스 염기는 피리딘, 테트라-하이드로 푸란(thf), DMSO, 테트라-하이드로-티오펜, 피롤, 이미다졸, 티아진, 피라진과 같은 아진(azines)을 포함한다. 일부 구현예에서, 제2 기상 반응물은 디아민 또는 디티온을 포함한다. 일부 구현예에서, 제2 기상 반응물은 헤테로시클릭 반응성 화합물을 포함한다. 일부 구현예에서, 헤테로시클릭 화합물은 티오탄산염, 티아디아졸, 또는 디옥산을 포함한다.
일부 구현예에서, 제2 기상 반응물은 BCl3, BF3, 또는 AlCl3과 같은 평면 화합물을 포함한다. 일부 구현예에서, 제2 기상 반응물은 할라이드를 포함한다. 일부 구현예에서, 제2 기상 반응물은 셋 이상의 할라이드를 포함한다.
일부 구현예에서, 제2 기상 반응물은 SO3, CH3NCS와 같은 알킬 이소시안산염, 클로로니트릴, COS, 또는 CS2를 포함한다.
일부 구현예에서, 제2 기상 반응물은 기판 표면에 흡착된 금속 원자에 배위 결합을 형성할 수 있다.
일부 구현예에서, 제2 기상 반응물은 금속을 포함하지 않는다. 일부 구현예에서, 제2 기상 할라이드 반응물은 탄소계 할라이드이다. 일부 구현예에서, 탄소계 할라이드는 CCl4 또는 CBr4를 포함한다.
일부 구현예에서, 식각 사이클은 기판을 제1 기상 반응물에 노출시키는 단계 및 기판을 제2 기상 반응물에 노출시키는 단계를 포함하되, 상기 기판은 식각 사이클 도중 플라즈마 반응물과에 접촉하지 않는다. 일부 구현예에서, 제1 기상 반응물은 CSe2를 포함한다. 일부 구현예에서, 제1 기상 반응물은 R이 C2-C8과 같은 탄화수소 또는 탄소인 S=R=S 구조를 갖는 화합물을 포함한다. 일부 구현예에서, 제1 기상 반응물은 CS2를 포함한다. 일부 구현예에서, CS2는 식각액의 인-시츄 형성에 관여한다. 일부 구현예에서, 제2 기상 반응물은 TEA 또는 TMA를 포함한다.
일부 구현예에서, 반응 챔버 내 기판 상에 박막을 식각하기 위한 공정은 기판을 기상 할라이드 반응물에 순차적으로 노출시키는 단계를 포함하되, 기상 할라이드 반응물은 수소를 포함하는 알킬할라이드가 아니며, 상기 공정은 자기 제한적이지 않다.
일부 구현예에서, 식각 사이클 동안 기판의 온도는 300 내지 500℃이다.
일부 구현예에서, 기판 표면 상에 막을 식각하는 방법은 기판 표면을 제1 할라이드 리간드를 포함하는 제1 기상 할라이드 반응물에 노출시켜 기판 표면 상에 제1 반응물 종을 형성하는 단계를 포함하되, 제1 기상 할라이드 반응물은 수소를 포함하지 않는다. 기판은 후속하여 제2 할라이드 리간드를 포함하는 제2 기상 할라이드 반응물에 노출될 수 있어서 제2 기상 할라이드 반응물은 제1 반응물 종을 기상 반응 생성물로 전환시키되, 제2 기상 할라이드 반응물은 수소를 포함하지 않는다. 일부 구현예에서, 제1 반응물 종을 형성하고/거나 제2 기상 할라이드 반응물을 기상 반응 생성물로 변환시키는 것은 자기 제한적이지 않다. 일부 구현예에서, 식각 사이클 동안 기판은 플라즈마 반응물에 노출되지 않는다. 일부 구현예에서, 기판은 하나 이상의 식각 사이클에서 제1 및 제2 기상 반응물과 상이한 제3 기상 반응물에 노출된다.
도 1은 일부 구현예에 따른 열적 원자층 식각 방법을 일반적으로 도시한 흐름도이다.
도 2는 일부 구현예에 따라 염화물을 사용하는 열적 원자층 식각 방법을 일반적으로 도시한 흐름도이다.
도 3은 일부 구현예에 따라 반응물로서 NbF5 및 CCl4를 사용하는 열적 원자층 식각 방법을 일반적으로 도시한 흐름도이다.
도 4는 일부 구현예에 따라 제1 할라이드 및 제2 유기 반응물을 사용하는 열적 원자층 식각 방법을 일반적으로 도시한 흐름도이다.
도 5는 일부 구현예에 따라 제1 할라이드 반응물 및 제2 부가물 형성 반응물을 사용하는 열적 원자층 식각 방법을 일반적으로 도시한 흐름도이다.
도 6은 반응물로서 NbF5 및 CCl4를 사용하여 ALE 처리한 후 SiO2, TiN, AlN, TiO2, SiN, TaN, ZrO2, 및 Al2O3 막의 질량, 두께, 시트 저항의 차이를 나타낸 그래프이다.
도 7은 반응물로서 NbF5 및 CCl4를 사용하는 다양한 횟수의 ALE 사이클 후 TiN 및 TaN 막을 포함하는 기판의 중량 및 시트 저항을 나타낸 그래프이다.
도 8은 일부 구현예에 따라 다양한 반응물 및 식각 온도를 사용하여 ALE 처리한 후 AlN, TiN, HFO2, 및 TaN 막에 대한 제거된 질량을 나타내는 그래프이다.
도 9는 일부 구현예에 따라 다양한 반응물 및 식각 온도를 사용하여 ALE 처리한 후 TiN, AlN, AlOx, HfOx, TaN, SiN, 및 열 산화막에 대한 제거된 질량을 나타내는 그래프이다.
반응 공간에서 기판 표면을 제1 및 제2 기상 반응물과 교번적으로 접촉시키는 단계를 포함하는 원자층 식각(ALE) 공정에 의해 일 하부 단층 이상의 재료가 기판으로부터 제거될 수 있다. ALE 유형의 일부 구현예에서, 기판이 제1 기상 반응물과 접촉되는 포화적 및 자기 제한적 흡착 단계에 이어서 기판이 제2 기상 반응물과 접촉하는 제2 노출 단계를 포함하는 하나 이상의 식각 사이클이 제공된다. 제1 흡착 단계에서, 제1 반응물은 기판 상의 식각될 재료에 일반적으로 자기 제한 방식으로 흡착된다. 그 다음, 제2 노출 단계는 흡착성 원자, 제2 반응물 원자 및 식각되는 표면으로부터 일부 원자를 함유하는 휘발성 부산물을 형성을 유도한다. 이런 방식으로, 기판 표면 상에서 원하는 재료의 식각이 세밀하게 제어될 수 있다. 일부 구현예에서, 제2 반응물은 식각되는 표면으로부터 원자를 포함하는 휘발성 부가물을 형성한다.
부가물은, 예를 들어, 화학 종 AB로서 간주될 수 있으며, 이들 각각의 화학적 또는 분자적 실체는 화학적 또는 분자적 실체가 연결되는 방식에 변화가 있지만, 화학적 실체 A와 B 내에는 원자의 손실이 없는 방식으로 두 개의 개별적인 화학적 또는 분자적 실체 A와 B의 직접 결합에 의해 형성된다.
일부 구현예에서, B 또는 C 오염과 같은 표면 오염이 기판 표면으로부터 제거될 수 있다. 이 맥락에서, 오염은 표면이나 막 상의 원하지 않는 원자, 예를 들어 금속 오염, S, O 등일 수 있다. 일부 구현예에서, 오염은 증착 사이클의 매 n 번째 사이클마다 추가된 추가적인 선택적 식각 단계에 의해 증착 단계 동안에 기판 표면이나 막 자체로부터 제거될 수 있다.
일부 구현예에서, 식각될 표적 재료는 Ti, Ta, Al, Zr 또는 Hf, W와 같은 금속을 포함한다. 일부 구현예에서, 식각될 재료는 W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 및 HfO2 중 하나 이상을 포함한다. 일부 구현예에서, 식각될 재료는 금속 질화물 또는 금속 산화물 또는 이들의 혼합물을 포함한다. 일부 구현예에서, 식각될 재료는 위에 제공된 금속 외에 Si, Ge, a-C, 그래핀, 중합체, SiOx, 및 Pt, Fe, Cu, Au, 및 Zn을 포함하는 금속을 포함할 수 있다.
일부 구현예에서, 반응 챔버 내로 반응물을 교대 순차적으로 공급함으로써 기상 반응을 방지한다. 기상 반응물은 반응 챔버에서 서로 분리된다. 일부 구현예에서, 이는, 예를 들어 반응물의 펄스 사이에 반응 챔버로부터 과량의 반응물 및/또는 반응 부산물을 제거함으로써 달성될 수 있다. 일부 구현예에서, 반응물은 퍼지 가스 및/또는 진공을 이용해 기판 표면과 근접한 곳으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응물 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 퍼지는 기판 표면을 불활성 가스와 같은 퍼지 가스에 노출시키는 단계를 포함한다. 반응물의 분리 및 반응의 자기 제한성 때문에 각각의 ALE 식각 사이클에서 하나의 단층 미만의 재료가 일반적으로 제거된다. 그러나, 일부 구현예에서는, 하나의 단층을 초과하는 재료가 각 사이클에서 제거될 수 있다. 일부 구현예에서, 반응은 자기 제한적이지 않거나 포화되지 않을 수 있다. 일부 구현예에서, 제1 기상 반응물, 제2 기상 반응물 또는 추가 단계에서의 반응물, 및 식각 반응과 같은 반응에 노출시키는 것과 같은 단계 중 적어도 하나는 자기 제한적이지 않거나 포화되지 않는다. 일부 구현예에서, 반응물들의 펄스들은 부분적으로 또는 완전히 중첩할 수 있다. 예를 들어, 일부 구현예에서, 하나 이상의 추가적인 반응물이 원하는 간격으로 간헐적으로 제공되는 반면, 일 반응물은 반응 공간 내로 연속적으로 흐를 수 있다.
본원에 개시된 ALE 방법은 플라즈마 식각 공정과는 대조적으로 열적 식각 공정이다. 따라서, 플라즈마 반응물은 ALE 식각 사이클에 사용되지 않는다. 플라즈마 반응물을 사용하는 공정과 차별화 하기 위해 열 ALE 공정으로 지칭하였지만, 일부 구현예에서, ALE 반응은 제로 활성 에너지를 가질 수 있고, 따라서 임의의 추가적인 열 에너지가 필요하지 않을 수 있다. 따라서, 반응은 본원에서 화학 식각 공정으로 지칭될 수도 있다. 열적 ALE 방법은 하부 기판에 손상을 덜 줄 수 있기 때문에, 상황에 따라 열적 ALE 방법이 플라즈마 ALE 방법보다 더 바람직할 수 있다. 또한, 열적 ALE 방법은 비가시선(NLOS) 특성의 등방성 식각을 가능하게 한다.
본원에 개시된 ALE 공정에는, 플라즈마의 부재 하에 제어된 식각을 가능하게 하는 것으로 밝혀진 특정 반응물 또는 반응물의 조합이 사용된다. 일부 구현예에서, 전이 금속 할라이드와 같은 금속 할라이드, 예를 들어 5족 또는 6족 전이 금속 할라이드의 염화물, 불화물, 브롬화물 또는 요오드화물 등은 제1 반응물로서 사용되어 제1 자기 제한적 흡착 단계에서 기판과 접촉한다. 제1 반응물의 금속은, 예를 들어 Nb, Ta, Mo, Sn, V, Re, Te 또는 W일 수 있다. 일부 구현예에서, 금속 할라이드 제1 반응물은 NbCl5, SnCl4, TaCl5, MoClx(x는 약 3 내지 5임), 또는 WClx(x는 약 4 내지 6임)와 같은 금속 염화물이다. 일부 구현예에서, 금속 할라이드 제1 반응물은 NbF5, TaF5, WF6, VF5, ReF6, ReF7, 또는 MoF6과 같은 금속 불화물이다. 일부 구현예에서, TeF6, SbF5 또는 AsF5와 같은 비금속 또는 반금속 불화물이 제1 반응물로서 사용될 수 있다. 일부 구현예에서, 금속 할라이드는 금속 브롬화물 또는 금속 요오드화물, 예컨대 SnBr4, SnI4일 수 있다.
일부 구현예에서, 제1 반응물은 금속 할라이드가 아닌 할라이드를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 유기 할라이드 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 알킬 할라이드 화합물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 2 개 이상의 탄소 원자를 포함하는 방향족, 포화 또는 불포화 지방족 알킬 할라이드 화합물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 치환된 알킬 할라이드를 포함할 수 있으며, 예를 들어 일부 구현예에서, 제1 반응물은 삼차-부틸 염화물, 1,1-디클로로에탄, 1,2-디클로로에탄, 또는 트리클로로에탄, 트리플루오로에탄, 트리플루오로이소프로판을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 방향족, 포화 또는 불포화 지방족 알켄 할라이드 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 치환된 비닐 할라이드, 또는 알릴 할라이드를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 유기 옥시할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 디아실 및 트리아실 할라이드를 포함하는 방향족, 포화 또는 불포화 지방족 아실 할라이드 화합물과 같은 아실 할라이드 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 푸마릴 염화물, 말로닐 염화물, 숙시닐, 또는 옥살릴 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 테레프탈로일 염화물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 1,3,5-벤젠트리카보닐 삼염화물을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 방향족, 포화 또는 불포화 지방족 술포닐 할라이드와 같은 술포닐 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 에탄술포닐 불화물(C2H5FO2S), 메탄술포닐 염화물(CH3ClO2S), 메탄술포닐 불화물(CH3FO2S), 페닐술포닐 불화물(PhFO2S), 피리딘술포닐 불화물(C5H4FNO2S), 티오펜술포닐 불화물(C4H3FO2S2), 시아노메탄술포닐 염화물(C2H2ClNO2S), 클로로메탄술포닐 염화물(ClCH2SO2Cl), 또는 트리플루오로메탄술포닐 염화물(CF3SO2Cl) 등을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 술페닐 할라이드 화합물 또는 셀레닐 할라이드 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 트리클로로메탄술페닐 염화물(CCl3SCl), 또는 클로로카보닐술페닐 염화물(ClCOSCl)을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 화학식 PhSeCl(Ph는 페닐기임)을 갖는 화합물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 화학식 RSeX(R은 알킬 리간드이고, X는 할라이드임)를 갖는 화합물을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 황, 탄소, 및 하나 이상의 할라이드 원자, 예컨대 티오포스젠(CSCl2)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 황, 인, 및 하나 이상의 할라이드 원자, 예컨대 염화 티오포스포릴(PSCl3) 및 불화 티오포스포릴(PSF3) 등을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 인 및 하나 이상의 할라이드 원자를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 황, 질소 및 하나 이상의 할라이드 원자, 예컨대 염화 티아질, 불화 티아질, 삼불화 티아질(NSF3) 등을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 인, 산소 및 하나 이상의 할라이드 원자, 예컨대 염화 포스포릴(POCl3)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 리간드, 인, 산소 및 하나 이상의 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 일반 화학식 리간드-POX2를 가질 수 있다. 예시적인 리간드는 디알킬 아미노(예, N,N-디메틸포스포라믹 이염화물), 페닐(예, 이염화 페닐포스포릴) 및 알킬(예, 삼차-부틸포스포닐 이염화물 및 이염화 메틸포스포닐)을 포함한다.
일부 구현예에서, 제1 반응물은 리간드, 인 및 하나 이상의 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 X가 염소 및 불소를 포함할 뿐만 아니라 할라이드인 일반 화학식 리간드-PX2를 가질 수 있다. 예를 들어, 제1 반응물은 이염화 디메틸포스포라미드를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 황 및 탄소를 포함할 수 있다. 일부 구현예에서, 제1 기상 반응물은 R이 C2-C8 탄화수소와 같은 임의의 탄화수소 또는 탄소인 S=R=S 구조를 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 이황화 탄소(CS2) 또는 이셀렌화 탄소(CSe2)를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 기판에 혼입된 전이 금속을 갖는 부가물을 형성할 수 있다.
일부 구현예에서, 제1 반응물은 산소 및 황을 포함하는 화합물, 할라이드 및 수소, 또는 알킬기와 같은 탄화수소기를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 방향족 또는 지방족, 치환된 방향족 또는 치환된 지방족, 포화 또는 불포화 술피닐 할라이드와 같은 술피닐 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 트리클로로메탄설피닐 염화물, 트리플루오로메탄술피닐 불화물, 트리플로오로메탄술피닐 염화물, 삼차-부틸술피닐 염화물을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 방향족 또는 지방족, 치환된 방향족 또는 치환된 지방족, 포화 또는 불포화 술폰산 할라이드와 같은 술폰산 할라이드를 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 플루오로술폰산(FSO3H) 및/또는 트리플루오로메탄술폰산(CF3SO3H)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 방향족 또는 지방족, 치환된 방향족 또는 치환된 지방족, 포화 또는 불포화 술폰산염 할라이드와 같은 술폰산염 할라이드를 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 트리메틸실릴 트리플루오로메탄술폰산염(C4H9F3O3SSi) 및 트리플루오로메틸 트리플로오로메탄술폰산염(CF3SO3CF3)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 화학식 A-SF3을 갖는 치환된 삼불화황을 포함할 수 있으며, 여기서 A는 디메틸황화물, 디에틸황화물, 벤젠, 알킬기, 피리딘, 티오펜, 시클로프로판, 또는 삼불화(N-메틸메탄아미나토)황 중의 메틸메탄아미나토를 포함하는 아미나토기일 수 있다.
일부 구현예에서, 제1 반응물은 화학식 X-O-SFy(여기서, X는 알킬 리간드, 방향족 리간드 또는 할라이드이고, y는 1 내지 5임)를 갖는 설푸란 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 반응물은 1-클로로 2-(펜타플루오로설푸라닐옥시)에탄(SF5OC2H2Cl)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 황, 산소, 및 할라이드, 예컨대 염소 또는 불소, 및 탄화수소를 포함할 수 있고, 고리형 알킬기, 예를 들어 시클로프로필티오닐클로라이드와 같은 시클로프로필기를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 일반 화학식 리간드-CCl3을 갖는 반응물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 질소, 할라이드, 탄소 및 산소를 포함할 수 있다. 예를 들어, 반응물은 트리클로로니트로메탄(CCl3NO2) 또는 트리클로로아세틸 이소시아네이트(Cl3CCONCO)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 수소, 할라이드, 탄소 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 에틸 클로로포르메이트, 메틸 클로로포르메이트, 프로필 클로로포르메이트, 클로로메틸 클로로포르메이트, 또는 2,2,2-트리클로로에톡시카르보닐 염화물과 같은 알킬 클로로포르메이트를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 질소, 수소, 할라이드, 탄소 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 트리클로로아세타마이드 또는 치환된 트리클로로아세타마이드(O-알릴 2,2,2-트리클로로아세트이미데이트)를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 질소, 할라이드, 및 탄소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 트리클로로아세토니트릴을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 질소, 탄소, 황, 할라이드 및 산소를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 탄소, 황, 할라이드, 수소 및 산소를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 질소, 탄소, 황, 할라이드, 수소 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 클로로술포닐 이소시안산염, 클로로메틸 클로로술페이트, 또는 N,N-디메틸설파모일 염화물을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 할로겐 및 숙신이미드기를 포함할 수 있다. 일부 구현예에서, 예를 들어, 제1 반응물은 N-클로로숙신이미드, N-브로모숙신이미드를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 붕소, 할라이드 및 수소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 붕소, 불소 및 수소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, HBF4를 포함할 수 있다. 일부 구현예에서, HBF4는 제1 반응물이 반응물 소스 용기에서 기화된 형태가 아닐 때 복합 화합물로서 사용된다.
일부 구현예에서, 제1 반응물은 안정제 내에 붕소 트리할라이드를 포함할 수 있으며, 여기서 안정제는, 예를 들어, 알킬아민, 알킬니트릴, 물, 또는 디메틸설파이드이거나, 붕소 트리할라이드와 함께 휘발성 착제 또는 부가물을 형성할 수 있는 기타 화합물일 수 있으며 이에 한정되지 않는다. 일부 구현예에서, 제1 반응물은, 예를 들어, 삼불화붕소 에틸아민 착제를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 일반 화학식 BXaYb의 붕소 할라이드를 포함할 수 있으며, 여기서 a와 b는 0 이상이거나 1 이상일 수 있고, X와 Y는 불소, 염소 및 브롬과 요오드를 포함하는 할라이드일 수 있다. 제1 반응물은, 예를 들어 에탄올, 디에틸 에테르, 디메틸 에테르, 디메틸설파이드를 포함하며, 적어도 하나의 탄소, 산소 또는 질소를 함유하는 유기 안정제 내에서 안정화될 수 있다.
일부 구현예에서, 제1 반응물은 사불화붕산 디에틸 에테르 착제를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 삼불화 이수화물을 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 삼불화붕소 테트라하이드로푸란 착제를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 식각 사이클 동안 또는 반응기 내로 주기적 방식으로 연속해서 흐른다.
일부 구현예에서, 제1 반응물은 안티몬, 및 불소와 같은 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 안티몬, 불소 및 수소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 안티몬, 불소, 산소 및 질소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 안티몬, 불소 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 안티몬, 불소, 및 안티몬 또는 불소를 제외한 적어도 하나의 리간드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 불화안티몬산 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 육불화안티몬산(HSbF6), 니트로늄 육불화안티몬산염(NO2SbF6), 니트로소늄 육불화안티몬산염(NOSbF6), 또는 육불화안티몬산 수화물(HSbF6·6H2O)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 인 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 인, 산소 및 수소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 인, 산소, 및 불소와 같은 할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 인, 산소, 및 알킬기와 같은 탄화수소기를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 인산염 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 육불화인산 암모늄을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 4 개 이상의 할라이드, 5 개 이상의 할라이드, 또는 6 개 이상의 할라이드를 갖는 화합물을 포함할 수 있으며, 여기서 할라이드는 염소 및/또는 불소일 수 있으나 이들로 한정되지 않는다. 일부 구현예에서, 제1 반응물은 -CF3기를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 선택적인 식각을 지원할 수 있는 -CF3기를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 -CF3기 및 황을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 -CF3기, 질소 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 -CF3기, 탄소, 수소 및 산소, 예를 들어 클로로디플루오로아세트산을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 -CF3기 및 -NH2기를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 -CF3기 및 -NH2기 및 산소나 황 중 하나를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 -CF3기, 산소 및 질소를 포함할 수 있고, 알킬 사슬과 같은 탄화수소 사슬을 통해 연결될 수 있다. 일부 구현예에서, 제1 반응물은 하나 이상의 CXaYb-기를 포함할 수 있으며, 여기서 X 및 Y는 할라이드이고, 불소 및/또는 염소일 수 있으나 이들로 한정되지 않는다. 일부 구현예에서, 제1 반응물은 탄소, 수소 및 산소, 예를 들어 클로로디플루오로아세트산 무수물을 포함할 수 있다. 일부 구현예에서, 제1 반응물이 반응물 소스 용기에서 기화된 형태가 아닐 때, 제1 반응물은 HCl 또는 HF를, 예를 들어 안정제로서 포함할 수 있다. 일부 구현예에서, 제1 반응물은 별도로 반응 챔버에 공급되는 HCl을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 탄소 및 할로겐을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 화학식 CXaYb의 화합물을 포함할 수 있으며, 여기서 a와 b는 0 이상일 수 있고, 예를 들어, 일부 구현예에서, 제1 반응물은 CCl3Br, CCl2Br2를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 화학식 CHXaYb의 화합물을 포함할 수 있으며, 여기서 a와 b는 0 이상일 수 있고, 예를 들어, 일부 구현예에서, 제1 반응물은 CHCl2Br, CHCl3, CHCl2Br 또는 CHClBr2를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 화학식 MOcXaYb의 화합물을 포함할 수 있으며, 여기서 c는 0보다 클 수 있고, a 및/또는 b는 1 이상일 수 있고 0 이상일 수 있으며, M은 임의의 전이 금속일 수 있다. 예를 들어 일부 구현예에서, M은 레늄, 니오븀, 텅스텐, 티타늄, 바나듐, 크롬일 수 있으며, 여기서 X와 Y는 서로 상이한 할라이드이거나 동일한 할라이드일 수 있다. 일부 구현예에서, 제1 반응물은 화학식 MoOF4, ReOF4, WOF4, ReOF5, ReO2F2, ReO2Cl3, NbOF3의 화합물을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 반응물이 이를 통해 표면에 결합하는 원자에 가깝거나 인접한 할라이드와 같은 전기음성 원소, 예컨대 불소 또는 염소를 포함 할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 불소가 이를 통해 hfac가 표면에 결합하는 C=O기에 가까운 헥사플루오로아세틸아세톤(Hhfac)을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 일반 화학식 XaYb을 갖는 할로-할로겐 화합물을 포함할 수 있으며, 여기서 X와 Y는 염소, 불소, 브롬 또는 요오드일 수 있고, a와 b는 화학량론 계수이며, 여기서 a와 b 각각이 1 이상일 수 있다. 일부 구현예에서, 제1 반응물은 ClF, BrCl, ClF3, BrF3, ClF5, BrF5, IF5, IF7, ICl3, ICl5 또는 ICl을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 할로겐 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 일반 화학식 ObXa 또는 ObXaYc를 갖는 옥시할라이드를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 2 개 이상의 할로겐 및 2 개 이상의 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은 OF2, FClO2, 또는 FClO3을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 할로겐, 질소 및 황을 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, 염화티아질(NSCl)을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 할로겐, 질소, 산소 및 황을 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, NSOCl을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 할로겐, 인 및 산소를 포함할 수 있다. 일부 구현예에서, 제1 반응물은, 예를 들어, POCl3을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 치환된 알킬 암모늄 수산화 화합물, 또는 알킬 아민 화합물을 포함할 수 있다. 일부 구현예에서, 알킬 암모늄 할라이드 또는 수산화물 화합물이 표면 상에 형성된다. 예를 들어, 일부 구현예에서, 제1 반응물은 표면 상에 수산화테트라메틸암모늄, 또는 테트라메틸아민과 같은 것을 포함하거나, 형성할 수 있다. 일부 구현예에서, 제1 반응물은 이차 또는 삼차 알킬아민을 포함할 수 있다.
일부 구현예에서, 제1 반응물은 유기 리간드를 포함하는 붕소 할라이드 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 화학식 BXnL3-n을 갖는 화합물을 포함할 수 있으며, 여기서 L은 아세틸아세토나토(acac) 리간드와 같은 유기 리간드이고, X는 할라이드이며, n은 1 또는 2이다. 일부 구현예에서, 제1 반응물은 BF2acac를 포함할 수 있다.
일부 구현예에서, 제1 반응물은 탄소, 수소 및/또는 주석을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 헥사메틸이주석(hexamethylditin)을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 탄소, 수소, 할라이드 및 주석을 포함할 수 있다. 일부 구현예에서, 제1 반응물은 브롬화트리메틸주석을 포함할 수 있다.
일부 구현예에서, 제1 기상 반응물은 제1 할라이드 리간드를 포함하고 제2 기상 반응물은 제2 할라이드 리간드를 포함한다. 일부 구현예에서, 제1 및 제2 반응물 모두는 Cl을 포함한다. 일부 구현예에서, 제2 할라이드 리간드는 제1 할라이드 리간드와 상이하다.
일부 구현예에서, 제1 기상 할라이드 반응물은 무기물일 수 있다. 일부 구현예에서, 제1 기상 할라이드 반응물은 탄소를 포함하지 않거나, C와 H 모두를 포함하지 않는다. 일부 구현예에서, 제2 기상 반응물은 무기물일 수 있다. 일부 구현예에서, 제1 기상 반응물은 탄소를 포함하지 않거나, C와 H 모두를 포함하지 않을 수 있다.
일부 구현예에서, 제1 기상 반응물 및 제2 기상 반응물은 동일한 수의 할라이드 리간드를 포함한다. 일부 구현예에서, 제1 기상 반응물 및 제2 기상 반응물은 상이한 수의 할라이드를 포함한다. 일부 구현예에서, 제1 기상 반응물은 1, 2, 3, 4, 5 또는 6 개의 할라이드를 포함하는 반면, 제2 기상 반응물은 1, 2, 3, 4, 5 또는 6 개의 할라이드를 별도로 포함한다.
일부 구현예에서, 제1 및 제2 기상 반응물 모두는 동일한 할라이드를 포함한다. 일부 구현예에서, 제1 및 제2 기상 반응물은 상이한 할라이드를 포함한다.
일부 구현예에서, 제1 기상 할라이드 반응물은 모두 동일하거나 상이한 할라이드일 수 있는 1, 2, 3, 4, 5 또는 6 개의 할라이드를 포함하고, 제2 기상 반응물은 제1 반응물과 다른 수의 할라이드를 포함한다. 제2 반응물의 할라이드는 제1 반응물의 할라이드와 동일하거나 상이할 수 있다. 일부 구현예에서, 제2 기상 반응물은 본원에 기술된 반응물로부터 선택될 수 있다. 즉, 일부 구현예에서, '제1 기상 반응물'으로 지칭되는 2개(또는 그 이상)의 상이한 반응물은 제1 및 제2 반응물로서 증착 사이클에서 사용될 수 있다.
일부 구현예에서, 제1 기상 할라이드 반응물은 2 내지 6 개의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제2 기상 반응물은 2 내지 6 개의 할라이드(또는 할로겐 원자)를 포함한다. 일부 구현예에서, 제1 기상 할라이드 반응물은 3 내지 5 개의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제2 기상 반응물은 3 내지 5 개의 할라이드(또는 할로겐 원자)를 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제1 및 제2 기상 할라이드 반응물 모두는 4 내지 5 개의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 플로오르화물을 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제1 기상 할라이드 반응물은 염소화제 또는 플루오르화제이고 제2 기상 반응물은 염소화제 또는 플루오르화제이다. 일부 구현예에서, 제1 기상 할라이드 반응물은 플루오르화제인 반면 제2 기상 반응물은 염소화제이다. 일부 구현예에서, 제1 기상 할라이드 반응물은 염소화제인 반면 제2 기상 반응물은 플루오르화제이다.
일부 구현예에서, 제1 기상 할라이드 반응물은 둘 이상, 예컨대 2 개 이상, 3 개 이상, 4 개 이상 또는 5 개 이상의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제2 기상 반응물은 5 개 미만, 4 개 미만, 3 개 미만, 또는 2 개 미만의 할라이드(또는 할로겐 원자)를 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제1 기상 할라이드 반응물은 4 내지 5 개의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제2 기상 반응물은 5 개 미만, 4 개 미만, 3 개 미만, 또는 2 개 미만의 할라이드(또는 할로겐 원자)를 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제2 기상 할라이드 반응물은 둘 이상, 예컨대 2 개 이상, 3 개 이상, 4 개 이상 또는 5 개 이상의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제1 기상 반응물은 5 개 미만, 4 개 미만, 3 개 미만, 또는 2 개 미만의 할라이드(또는 할로겐 원자)를 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제2 기상 할라이드 반응물은 4 내지 5 개의 할라이드(또는 할로겐 원자), 예를 들어 염화물 또는 불화물을 포함하는 반면, 제1 기상 반응물은 5 개 미만, 4 개 미만, 3 개 미만, 또는 2 개 미만의 할라이드(또는 할로겐 원자)를 포함한다. 제2 기상 반응물의 할라이드는 제1 기상 반응물의 할라이드와 동일하거나 상이할 수 있다.
일부 구현예에서, 제1 비금속 할라이드 반응물은 화학식 리간드-SX2 뿐만 아니라 리간드-SX3을 갖는 비금속 할라이드 반응물이며, 여기서 X는 할라이드이고, S는 황 또는 인일 수 있고, 리간드는 디메틸에테르, 디메틸티오에테르와 같은 디알킬티오에테르, 디메틸아민과 같은 알킬아민, 벤젠, 알킬, 피리딘, 시클로프로판 및 n-할로이미노황(n-haloiminosulfur) 등일 수 있다. 일부 구현예에서, 제1 기상 비금속 할라이드 반응물은 트리플루오로(N-메틸메탄아미나토)황일 수 있다. 일부 구현예에서, 제1 기상 비금속 할라이드 반응물은 N-플루오로포르밀이미노황 이불화물(SF2=NCOF)을 포함한다.
일부 구현예에서, 불화물, 염화물, 브롬화물 또는 요오드화물과 같은 할라이드 반응물은 흡착된 종과 반응하여 반응 공간으로부터 제거될 수 있는 휘발성 반응 생성물을 형성하는 제2 반응물로서 사용된다. 일부 구현예에서, 제2 반응물은 비금속 또는 반금속 할라이드이다. 예를 들어, 일부 구현예에서, 제2 반응물은 탄소계 할라이드이다. 일부 구현예에서, 제2 반응물은, 예를 들어 탄소계 불화물, 브롬화물, 요오드화물 또는 염화물, 예를 들어 CCl4 또는 CBr4를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 Ge 할라이드와 같은 반금속계 할라이드, 예를 들어 SbCl3, SbCl5, SiCl4, 또는 GeCl4와 같은 반금속 염화물이다. 일부 구현예에서, 제1 반응물과 관련하여 전술한 반응물 중 임의의 하나는 전술한 제1 반응물 중 임의의 하나와 함께 제2 반응물로서 사용될 수 있다. 즉, 전술한 제1 반응물 중 임의의 2 개가 제1 반응물 및 제2 반응물로서 사용될 수 있다. 간략화하기 위해, CCl4를 포함하는 탄소계 할라이드는 유기물 또는 알킬할라이드인 것으로 간주된다.
일부 구현예에서, 제2 반응물은 본원에 설명된 바와 같은 제1 반응물과 접촉하는 표면 또는 기판 상에 휘발성 부가물을 형성할 수 있는 화합물을 포함할 수 있다. 제2 반응물은 제1 반응물에 의해 기판 표면 상에 형성된 종과 휘발성 부가물을 형성하고, 부가물은 식각될 표면으로부터 하나 이상의 원자를 포함한다. 이어서, 휘발성 부가물은 반응 공간으로부터 제거된다. 예를 들어, 일부 구현예에서, 제 2 반응물은 -OH, -SH, -NH2, =NH 말단화된 표면뿐만 아니라 할로겐화된 표면, 예컨대, 염소화된 하이-k(high-k) 표면, 산화된 표면, 또는 아민 배위된 표면과 접촉 부위에 휘발성 부가물을 형성할 수 있는 화합물을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 본원에 설명된 바와 같은 제1 반응물과 접촉하는 표면 상에 금속 할라이드 부가 화합물을 형성할 수 있는 화합물을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 기판 표면에 흡착된 금속 원자에 배위 결합을 형성할 수 있는 화합물을 포함할 수 있다. 예를 들어, 디아민, 디티온, 티오탄산, 티아디아졸은 표면 상의 금속 원자에 배위 결합을 형성할 수 있다.
일부 구현예에서, 제2 반응물은 전이 금속과 같은 식각될 표면 상의 금속과의 반응 시 휘발성 부가물을 형성하는 루이스 염기를 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 반응물은 표면 상에 휘발성 부가물을 형성할 수 있는 피리딘, 테트라하이드로푸란(THF), 디메틸 설폭사이드(DMSO), 테트라하이드로티오펜, 또는 다른 루이스 염기를 포함할 수 있다. 일부 구현예에서, 루이스 염기는 알킬, 아릴, 또는 치환된 니트릴(시안산염) 및 이소니트릴, 예컨대 메틸 니트릴, 메틸 이소니트릴, NH3 가스, 알킬 또는 치환된 이소티오시안산염, 이소시안산염, (프로판 1,2,3 올스와 같은) 폴리올스(poly-ols), 에탄올아민, (메틸술포닐메탄과 같은) 술폰, PX3 및 트리클로로니트로메탄을 포함한다.
식각되는 기판이 TiN 또는 TaN과 같은 금속 질화물을 포함하는 일부 구현예에서, 제2 반응물은 루이스 산을 포함할 수 있다. 일부 구현예에서, 루이스 산은 SO3이다. 일부 구현예에서, 제2 반응물은 평면 화합물, 예컨대 BCl3, BF3, 또는 AlCl3, 공액 전자 시스템을 갖는 화합물, 또는 식각될 표면 상에 부가물을 형성할 초원자가 분자 등을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 식각될 표면 상에 부가물을 형성할 디아민 또는 디티온 화합물을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 전이 금속을 포함하는 표면과 같은, 식각될 기판 표면 상에 부가물을 형성할 수도 있는 알킬 또는 아릴 이소시안산염, 또는 이들의 치환된 형태를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 알킬 또는 아릴 이소티오시안산염, 또는 이들의 치환된 형태를 포함할 수 있다.
일부 구현예에서, 휘발성 부가물을 형성하는 제2 반응물은 알킬 또는 아릴 폴리올, 예를 들어 1,2,3, 프로판 트리올 및 에탄 디올 등을 포함할 수 있다.
일부 구현예에서, 부가물을 형성하는 제2 반응물은 -NH2 및 -OH 기능기, 예를 들어 에탄올아민을 포함할 수 있다.
일부 구현예에서, 부가물을 형성하는 제2 반응물은, 삼산화황(SO3)을 포함할 수 있다.
일부 구현예에서, 제2 반응물은, 예를 들어, 휘발성 부가물의 형성을 돕는 메틸술포닐메탄과 같은 술폰을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 헤테로시클릭 반응성 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 하나 이상의 질소 원자를 포함하는 헤테로시클릭 반응성 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 하나 이상의 황 원자를 포함하는 헤테로시클릭 반응성 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 하나 이상의 산소 원자를 포함하는 헤테로시클릭 반응성 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 산소, 붕소, 질소 및 황 원자와 같은 3 개 이상의 상이한 원소를 포함하는 헤테로시클릭 반응성 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 반응물은 에틸렌 트리티오탄산염 또는 디메틸 트리티오탄산염과 같은 티오탄산 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 티아디아졸 화합물, 예컨대 디클로로티아디아졸, 예를 들어 3,4 디클로로-1,2,5 티아디아졸 등을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 1,4-디옥산과 같은 디옥산 화합물을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 시클로헥사디엔, 시클로펜타디엔, 예컨대 트랜스-트리스(트리메틸실릴)시클로헥사디엔 및 비스(트리메틸실릴)시클로헥사디엔 등을 포함하는 치환 또는 비치환 불포화 고리형 화합물을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 본원에 설명된 바와 같은 제1 반응물에 노출된 기판과 접촉할 때 아트란 화합물을 형성할 수 있는 화합물을 포함할 수 있다. 예를 들어, 일부 구현예에서, 제2 반응물은 본원에 설명된 제1 금속 할라이드 반응물과 같은 제1 반응물에 노출된 기판과 접촉할 때 금속 아트란 화합물과 같은 휘발성 부가물을 형성할 수 있는 TIPA, TIPEA, TMEA, 트리스(2-아미노에틸)아민 또는 트리에탄올아민(TEA)을 포함할 수 있다.
일부 구현예에서, 전이 금속 필름과 같은 금속 필름은, 기판을 제1 할라이드 반응물, 예컨대 NbCl5와 같은 금속 할라이드 및 CS2와 같은 제2 휘발성 부가물 형성 반응물에 노출시키는 단계를 포함하는 ALE 공정에 의해 식각된다. 일부 구현예에서, CS2는 HfClx, TiClx, 또는 TiONClx 와 같은 할로겐화된 표면 상에 사용되어 휘발성 생성물을 형성할 수 있다.
식각될 기판 표면이 제1 반응물 및 제2 반응물과 교번적으로 접촉되어, 휘발성 부가물 및 반응 생성물이 제거됨으로써 전이 금속 막이 식각된다. 일 구현예에서, TiN은 기판을 NbCl5와 같은 염소 함유 화합물 및 CS2와 같은 부가물 형성 화합물에 교번적으로 노출시키는 단계를 포함하는 ALE 공정에 의해 식각된다.
일부 구현예에서, Fe, Co, Ni, 또는 Cu 표면과 같은 금속 표면은 제1 할라이드 반응물에 노출됨으로써 할라이드화된다. 이어서, 표면은 휘발성 금속 부가물을 형성하여 표면을 식각하는 제2 반응물에 노출된다.
일부 구현예에서, 기판 상의 금속 산화막은, 금속 산화막이 금속 할라이드 또는 CCl4와 같은 제1 할라이드 반응물 및 CH3CN, NH3 또는 1,4-디옥산과 같은 제2 휘발성 부가물 형성 반응물에 교번적으로 노출되는 식각 사이클을 포함하는 ALE 공정에 의해 식각된다. 일부 구현예에서, 기판 표면 상의 Al2O3, HfO2, TiO2 또는 다른 금속 산화막은 금속 할라이드 또는 CCl4를 포함하는 제1 반응물 및 1,4-디옥산을 포함하는 제2 반응물에 교번적으로 노출시킴으로써 식각된다.
일부 구현예에서, CCl4와 같은 제2 반응물은 제1 반응물 없이 단독으로 사용될 수 있고, 원하는 식각 선택도를 갖는 원하는 제어된 식각을 제공할 수 있다.
일부 구현예에서, 제1 반응물 또는 제2 반응물은 식각을 위해 단독으로 사용될 수 있고, 원하는 식각 선택도를 갖는 원하는 제어된 식각을 제공할 수 있다. 형성된 임의의 부산물은 퍼징 및/또는 펌핑에 의해 제거될 수 있다.
일부 구현예에서, 제1 반응물은 주기적 펄스화 방식으로 단독으로 사용될 수 있다.
일부 구현예에서, 유속이 수정되거나, 유속이 펄스화된 제1 반응물의 연속된 흐름이 사용된다.
일부 구현예에서, 제1 및 제2 반응물은 원하는 식각 선택도를 갖는, 원하는 제어된 식각을 위해 상호 교환적으로 사용될 수 있다.
일부 구현예에서, 본원에 제1 반응물로서 설명된 둘 이상의 화합물이 원자층 증착 공정에 주기적으로 사용될 수 있다. 예를 들어, 일부 구현예에서, 제1 반응물은 NbF5이고, 제2 반응물은 푸마릴 염화물이나 말로닐 또는 임의의 아실 할라이드이다.
일부 구현예에서, 제1 반응물은 NbF5이고 제2 반응물은 CCl4이다.
일부 구현예에서, 제1 반응물 및 제2 반응물은 동일한 할라이드 리간드를 포함한다. 예를 들어, 제1 반응물은 NbCl5, TaCl5, MoCl3 또는 WCl2와 같은 금속 염화물을 포함할 수 있고, 제2 반응물 또한 CCl4와 같은 Cl을 포함할 수 있다.
일부 구현예에서, 제1 또는 제2 반응물 중 하나 또는 모두는 할라이드를 포함하고 수소를 함유하지 않거나, 할라이드를 포함하고 산소나 수소 중 하나를 함유하지 않는다. 일부 구현예에서, 제1 또는 제2 반응물 중 하나 또는 모두는 할라이드를 포함하고 수소를 함유하지 않거나, 할라이드를 포함하지만 산소나 수소 중 하나를 함유하지 않는다. 일부 구현예에서, 제1 및 제2 반응물 중 적어도 하나는 Sn(acac)2가 아니다. 일부 구현예에서, 제1 및 제2 반응물 중 적어도 하나는 TMA가 아니다. 일부 구현예에서, 제1 및 제2 반응물 중 적어도 하나는 HF 가스가 아니다. 일부 구현예에서, 제1 및 제2 반응물 중 적어도 하나는 HF-피리딘이 아니다. 일부 구현예에서, 제1 및 제2 반응물은 HF 및 Sn(acac)2가 아니다. 일부 구현예에서, 제1 및 제2 반응물은 HF 및 SiCl4가 아니다. 일부 구현예에서, H는 사용되지 않는다. 일부 구현예에서, TMA는 사용되지 않는다. 일부 구현예에서, Sn(acac)2는 사용되지 않는다.
일부 구현예에서, 하나 이상의 추가적인 반응물이 사용될 수 있다. 일부 구현예에서, 하나 이상의 추가적인 반응물이 선택적인 식각을 개선하거나 조정하는데 사용될 수 있다. 추가적인 반응물은 별도로 제공되거나, 하나 이상의 반응물, 예컨대 제2 반응물과 조합될 수 있다. 일부 구현예에서, 추가적인 반응물은 산소 소스일 수 있다. 예를 들어, 추가적인 반응물은, 예를 들어 물, 산소 또는 오존을 포함할 수 있다.
일부 구현예에서, 물, 산소 및/또는 오존은 제2 반응물과 조합된다. 제2 반응물에 대한 물, 산소 또는 오존의 비율은 반응을 조정하기 위해, 예를 들어 식각 공정의 선택도를 조정하거나, 심지어 식각-정지층을 형성함으로써 식각을 정지시키기 위해 달라질 수 있다.
일부 구현예에서, 추가적인 반응물은 SO3, H2S, NH3, 히드라진을 포함한다. 일부 구현예에서, 추가적인 반응물은 다른 제1 및/또는 제2 반응물과 조합하여 사용될 수 있다.
일부 구현예에서, 추가 반응물은 N2O 가스일 수 있다. 추가적인 반응물은 별도의 소스로부터 추가적으로 공급될 수 있다.
일부 구현예에서, 식각 사이클은 기판이 리간드 교환자에 노출되는 단계를 더 포함한다. 일부 구현예에서, 리간드 교환자는 Hacac TMA, Sn(acac)2로부터 선택된다. 일부 구현예에서, 리간드 교환자는 인접 케톤기, 예를 들어 헥사플루오로 아세틸아세토나토(Hhfac), 디아세틸, thd 등으로 이뤄질 수 있다. 일부 구현예에서, 리간드 교환자는 화학식 M(thd)x로 이뤄지며, 여기서 M은 전이 금속뿐만 아니라 알칼리 토금속과 같은 금속이고, x는 1보다 클 수 있으며 경우에 따라 2보다 클 수 있다. 일부 구현예에서, 금속 'M'은 적어도 하나의 'thd' 또는 적어도 하나의 'acac' 또는 둘 모두, 예를 들어 Mg(thd)(acac) 등으로 이뤄질 수 있다.
전술한 바와 같이, 일부 구현예에서, ALE 공정은 바람직하게는 열적 공정이다. 따라서, 일부 구현예에서, 플라즈마 반응물은 제1 또는 제2 반응물로서 사용되지 않는다. 일부 구현예에서, 플라즈마 반응물은 ALE 공정에 사용되지 않는다.
일부 구현예에서, 제어된 식각을 위해 하나 이상의 ALE 사이클이 수행되고, 각각의 사이클은 원하는 기판 표면으로부터 재료를 제거한다. 일부 구현예에서, 각각의 ALE 사이클에서 하나의 단층 이하의 재료가 제거되며, 밀도가 변하지 않는다는 전제 하에서 사이클당 제거되는 질량은 약 하나의 단층의 부피에 해당한다. 일부 구현예에서, 사이클당 하나의 단층보다 더 많이 제거된다. 각각의 ALE 사이클은 일반적으로 두 개의 구분되는 단계를 포함한다. 기판 표면을 접촉시켜 기판으로부터 반응물을 제거하는 것은 한 단계로 간주될 수 있다.
제1 단계에서, 기상 제1 반응물은 식각될 기판 표면과 접촉한다. 일부 구현예에서, 제1 반응물은 흡착된 종의 약 하나의 단층 이하를 형성한다. 특히, 일부 구현예에서, 제1 반응물은 기판 표면 상의 제거되어야 할 재료의 접근 가능한 기판 분자와 반응하여 흡착된 종을 형성한다.
제1 단계는 일부 구현예에서 자기 제한적이다. 이는, 일부 경우에, 기상 제1 반응물 종과 반응하기 위한 기판 표면 분자의 이용 가능성이 제한되면 반응이 본질적으로 자기 제한적이 되게 하는 경우일 수 있다. 또한, 형성된 반응층 자체가 자기 제한적 성질을 도입할 수 있다.
일부 구현예에서, 과량의 제1 기상 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다. 제1 기상 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공에 의해 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 기판의 근방으로부터 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
제2 단계에서, 제2 기상 할라이드 반응물은 기판과 접촉하고, 흡착된 종을 기상 반응 생성물로 전환시킬 수 있다. 반응 생성물은 원래 재료의 원자를 포함하여 재료를 식각한다. 일부 구현예에서, 제2 반응물은 제1 반응물과 동일한 할라이드를 포함한다. 일부 구현예에서, 제2 반응물은 반응성 종을 포함하지 않는다. 과량의 제2 반응물 및 기상 반응 생성물은 기판 표면으로부터, 예를 들어 진공 및/또는 퍼지 가스에 의해 제거된다. 일부 구현예에서, 과량의 제2 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 기판의 근방으로부터 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
식각률을 조정하고 및/또는 막의 식각 후 잔여 막의 하나 이상의 특성에 영향을 주기 위해, 예컨대, 예를 들어 식각 후 막의 저항률을 1%, 5% 초과, 20% 초과, 50% 초과, 또는 100% 초과로 감소 또는 증가시켜 저항률을 조정하고, 예를 들어 (n, k)와 같은 식각 후 막의 광학적 파라미터를 1%, 5% 초과, 20% 초과, 50% 초과, 또는 100% 초과로 감소 또는 증가시켜 광학적 특성을 변경하고, 예를 들어 식각 후 막의 거칠기를 1%, 5% 초과, 20% 초과, 50% 초과, 또는 100% 초과로 감소 또는 증가시켜 막 거칠기를 변경하고, 예를 들어 식각 후 선택도를 1%, 5% 초과, 20% 초과, 50% 초과, 또는 100% 초과로 감소 또는 증가시켜 식각의 선택도를 개선하기 위해, 추가적인 단계가 추가되고, 필요에 따라 단계가 제거될 수 있다. 일부 구현예에서, CCl4와 같은 제2 반응물은 제1 반응물 없이 단독으로 사용될 수 있고, 원하는 식각 선택도를 갖는 원하는 제어된 식각을 제공할 수 있다. 일부 구현예에서, 하나 이상의 추가적인 반응물, 예컨대 산소, 물 또는 오존과 같은 산소 반응물은 별도의 단계에 제공될 수 있다.
일부 구현예에서, 제3 기상 반응물을 증착함으로써 제3 단계가 추가된다. 이어서, 제3 단계는 식각률을 조절하고/하거나 식각된 재료에 영향을 미치기 위해 제거될 수 있다. 제4 기상 반응물을 증착함으로써 제4 단계가 추가된다. 추가적인 단계는 추가 기상 반응물을 증착함으로써 추가된다.
반응물 중 하나 이상은 Ar 또는 He와 같은 캐리어 가스에 의해 제공될 수 있다. 일부 구현예에서, 제1 반응물 및 제2 반응물은 캐리어 가스에 의해 제공된다. 일부 구현예에서, 캐리어 가스는 공정 전체에 걸쳐 연속적으로 흐를 수 있다. 일부 구현예에서, 캐리어 가스는 퍼지 가스로서도 작용할 수 있다.
제1 및 제2 단계는 제어 가능한 방식으로 기판 표면으로부터 재료를 제거하는 ALE 식각 사이클을 함께 형성한다. ALE 식각 사이클은 기판 표면으로부터 재료를 원하는 정도로 식각하기 위해 2회 이상 반복될 수 있다. 일부 구현예에서, ALE 식각 사이클은 원하는 양의 재료를 제거하기 위해 10, 20, 50, 100, 200, 400, 600, 800, 1000회 이상 반복된다.
일부 구현예에서, 2개의 단계가 중첩되거나 조합될 수 있다. 예를 들어, 부분적으로 또는 완전히 중첩되는 단계에서 제1 반응물 및 제2 반응물은 동시에 기판과 접촉할 수 있다. 또한, 제1 및 제2 단계, 및 제1 및 제2 반응물로서 언급되지만, 단계들의 순서는 변경될 수 있고, ALE 사이클은 단계들 중 임의의 하나로 시작될 수 있다.
기상 반응물을 사용함으로 인해, 식각 공정의 층 등각성은 매우 양호하며, 재료는 삼차원 구조의 모든 표면으로부터 균일하게 제거될 수 있다. 일부 구현예에서, 수직 식각의 층 등각성은 약 90%보다 크고, 수평 식각의 층 등각성은 약 92%보다 크다. 일부 구현예에서, 수직 개구에서 식각의 층 등각성은 약 50% 이상, 약 75% 이상, 약 85% 이상, 약 90% 이상, 약 95% 이상, 약 98% 이상, 약 99% 이상, 및 심지어 약 100% 이하이다. 일부 구현예에서, (예를 들어, 수직 개구로부터) 수평으로 연장된 개구에서 식각의 층 등각성은 약 50% 이상, 약 75% 이상, 약 85% 이상, 약 90% 이상, 약 95% 이상, 약 98% 이상, 약 99% 이상, 및 심지어 약 100% 이하이다. 일부 구현예에서, 공정은 주기적 방식으로 적용된 3 개 이상, 4 개 이상, 5 개 이상, 또는 6 개 이상의 단계를 포함한다.
일부 구현예에서, 식각될 재료를 포함하는 기판, 예컨대 반도체 작업 대상물 등은 반응 공간 또는 반응기 내에 로딩된다. 반응기는 집적 회로의 형성에 있어 다양하게 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산이 가능한 단일 웨이퍼 원자층 증착 반응기가 사용된다. 다른 구현예에서, 다수의 기판을 포함하는 배치식 반응기가 사용된다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc.(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 다른 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K.(일본, 동경)사의 제품들을 포함한다. 일부 구현예에서, 반응기는 식각 반응기이다.
일부 구현예에서, 필요한 경우, 작업 대상물의 노출 표면은 ALE 공정의 제1 단계와 반응하기 위한 반응성 부위를 제공하기 위해 전처리될 수 있다. 일부 구현예에서는 별도의 전처리 단계가 요구되지 않는다. 일부 구현예에서, 기판은 요구되는 표면 말단을 제공하기 위해 전처리된다. 일부 구현예에서, 기판은 플라즈마로 전처리된다.
제1 반응물 및 제2 반응물과 같은 반응물은 기체 형태로 반응 공간에 제공된다. 제1 반응물 및 제2 반응물은, 노출된 표면을 포화시키기에 충분한 농도로 종을 작업 대상물에 운반하기 위한 공정 조건 하에서 종이 충분한 증기압을 나타내는 경우, 본 명세서의 목적상 "휘발성"인 것으로 간주된다.
일부 구현예에서, 반응물은 식각될 표면을 갖는 기판이 포함된 반응 챔버 내로 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초 동안 펄스화된다. 일부 구현예에서, 펄스 시간은 60초 초과, 예를 들어 120초 이상일 수 있다. 일부 구현예에서, 반응물은 식각될 기판 표면과 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초 동안 접촉한다. 일부 구현예에서, 펄스 시간은 60초 초과, 예를 들어 120초 이하일 수 있다. 최적의 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
전술한 바와 같이, 약 하나의 분자층이 기판 표면으로부터 제거될 재료와 반응하여 흡착된 종을 형성하기에 충분한 시간이 지난 후, 과량의 제1 반응물 및 반응 부산물이 있는 경우, 이들은 기판 표면으로부터 제거된다. 일부 구현예에서, 과량의 반응물 및 반응 부산물이 있는 경우, 이들을 제거하는 단계는 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서, 반응 챔버는 과량의 반응물 및 반응 부산물이 있는 경우 이들을 반응 공간으로부터 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 제1 반응물의 흐름을 정지시킴으로써 퍼지될 수 있다. 반응 부산물은, 예를 들어, 옥시할라이드를 포함할 수 있다. 일부 구현예에서, 과량의 제1 반응물은 ALE 사이클 전체에 걸쳐 흐르는 헬륨이나 아르곤과 같은 불활성 가스에 의해 퍼지된다. 일부 구현예에서, 기판은 제1 반응물이 포함된 반응 공간으로부터 제2의 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 제1 반응물은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초, 약 0.3초 내지 약 5초, 또는 약 0.3초 내지 약 1초 동안 제거된다. 일부 구현예에서, 이는 60초 이상일 수 있다.
제2 단계에서, CCl4와 같은 제2 반응물이 작업 대상물에 제공된다. 일반적으로, 제2 반응물은 식각될 표면을 갖는 기판이 포함된 반응 챔버 내로 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초 동안 펄스화된다. 일부 구현예에서, 제2 반응물은 식각될 기판 표면과 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초, 약 0.2초 내지 약 1.0초 동안 접촉한다. 일부 구현예에서, 펄스는 약 60초 초과일 수 있다. 그러나, 반응기 유형, 식각되는 재료 및 기타 공정 조건, 예컨대 표면적 및 온도 등에 따라 제2 반응물의 접촉 시간은 심지어 약 10초보다 더 길 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
제2 반응물은 흡착된 종과 반응하여 식각되는 재료의 원자를 포함하는 기상 반응 부산물을 형성한다. 과량의 제2 반응물 및 기상 반응 부산물은 반응 챔버로부터 제거된다. 일부 구현예에서, 과량의 반응물 및 반응 부산물을 제거하는 단계는 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서, 반응 챔버는 과량의 반응물 및 반응 부산물을 반응 공간으로부터 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 제2 반응물의 흐름을 정지시킴으로써 퍼지될 수 있다. 일부 구현예에서, 과량의 제2 반응물 및 반응 부산물은 헬륨이나 아르곤과 같은 불활성 가스에 의해 퍼지된다. 일부 구현예에서, 기판은 제2 반응물이 포함된 반응 공간으로부터 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 퍼지 가스의 펄스는 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초일 수 있다.
일부 구현예에 따르면, ALE 사이클은 약 20℃내지 약 1200℃ 약 50℃내지 약 800℃ 약 75℃내지 약 600℃ 약 300℃내지 약 500℃ 또는 약 350℃내지 약 450℃범위의 온도에서 수행될 수 있다. 일부 구현예에서, 온도는 약 20℃, 50℃ 또는 100℃를 초과하되, 약 1000℃, 800℃, 600℃ 또는 500℃미만이다. 일부 구현예에서, 사이클은 약 450℃의 온도에서 수행된다.
반응 챔버 내 압력은 일반적으로 약 10E-9 토르 내지 약 760 토르, 또는 약 0.001 토르 내지 약 100 토르이다. 그러나, 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 것처럼, 일부의 경우 압력은 이러한 범위보다 높거나 낮을 수 있다. 경우에 따라 반응기는 (열벽과 같은) 등온 조건이나 (냉벽과 같은) 비등온 조건에서 작동될 수 있다. 경우에 따라 반응기는 식각용 화학약품들과 상호 작용하지 않으며, 기판과 상호 작용하지 않을 수도 있다. 경우에 따라 반응기는 열벽, 냉벽, 및 심지어 온벽 타입의 반응 챔버를 포함할 수 있다.
표적 재료로서 지칭되기도 하는 식각될 재료를 포함하는 기판은 다양한 형태를 가질 수 있다. 일부 구현예에서, 기판은 집적 회로 작업 대상물이거나 다른 기판일 수 있다. 식각될 표적 재료는 기판 표면 상의 박막을 포함할 수 있다. 일부 구현예에서, 표적 재료는 기판 상의 삼차원 구조 상의 박막이다. 식각될 박막이나 다른 재료를 포함하는 기판은 다양한 유형의 다른 재료를 포함할 수 있다. 예를 들어, 일부 구현예에서, 기판은 식각 공정에 의해 표적화 된 재료 외에도 실리콘을 포함할 수 있다. 일부 구현예에서, 식각 공정은 기판 상의, 또는 반응 챔버 내의 다른 재료에 대해 선택적이다. 일부 구현예에서, 제1 반응물만, 또는 제2 반응물만, 또는 제1 및 제2 반응물이 순환 방식으로 공급되어 선택도를 개선시킨다.
일부 구현예에서, 식각될 표적 재료는 Ir, Ru, Rh, Mo, Cu, Sb, Al, Ti, Co, Ni, Ta, Al, Zr, Hf, 또는 W와 같은 금속을 포함한다. 일부 구현예에서, 식각될 재료는 W, WO3, AlN, TiN, TiO2, GaN, MoN, CoP, TaN, SiN, SiOx, AlOx, AlO2, Al2O3, ZrOx, ZrO2, 및 HfOx(예, HfO2) 중 하나 이상을 포함한다. 일부 구현예에서, 식각될 재료는 금속 질화물 또는 금속 산화물 또는 이들의 혼합물을 포함한다.
일부 구현예에서, W, TiN, TiO2, TaN, SiN, SiOx, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 및 HfO2 중 하나 이상을 포함하는 박막은 NbF5 및 CCl4를 갖는 박막을 포함하는 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 ALE 공정에 의해 식각된다. 일부 구현예에서, W, TiN, TiO2, TaN, SiN, SiOx, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 및 HfO2 중 하나 이상을 포함하는 박막은, 제1 반응물 및 제2 반응물을 갖는 박막을 포함하는 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 ALE 공정에 의해 식각되며, 여기서 제1 반응물 및 제2 반응물은 동일한 할라이드를 포함한다.
일부 구현예에서, ALE 공정은 사이클당 약 0.01
Figure pat00001
내지 약 5
Figure pat00002
의 평균 식각률을 갖는다. 식각률은 각각의 사이클 이후 제거된 재료의 량 또는 막의 두께로서 정의되며, 이는 실용상의 이유로 1회의 식각 사이클 후, 2회 초과의 식각 사이클 후, 5회 초과의 식각 사이클 후, 또는 심지어 20회 초과 또는 경우에 따라 50회 초과의 사이클 후 산출될 수 있다. 일부 구현예에서, 평균 식각률은 사이클당 약 0.01 Å 내지 0.1 Å, 또는 사이클당 0.1 Å 내지 약 2 Å, 또는 경우에 따라 심지어 사이클당 2 Å보다 더 높다. 일부 구현예에서, 평균 식각률은 사이클당 약 0.1 Å, 사이클당 약 0.5 Å 초과, 사이클당 약 1.0 Å 초과, 사이클당 약 2.0 Å 초과, 사이클당 약 3.0 Å 초과, 사이클당 약 5.0 Å 초과, 사이클당 약 10 Å 초과 또는 사이클당 약 20 Å 초과이고, 경우에 따라, 변경된 유속으로 연속 흐름이 적용되거나 노출 시간이 충분히 긴 경우, 식각률은 사이클당 약 30 Å 초과, 사이클당 약 50 Å 초과, 또는 사이클당 100 Å 초과일 수 있다.
일부 구현예에서, 식각 선택도, 즉 표면이나 재료 또는 원하지 않는 표면이나 재료로부터 제거된 재료에 대한 원하는 표면이나 재료로부터 제거된 재료(두께, 질량 또는 원자량이나 분자량)의 비율은 약 2:1 초과, 약 3:1 초과, 약 5:1 초과, 약 7:1 초과, 약 10:1 초과, 약 15:1 초과, 약 20:1 초과, 약 30:1 초과, 약 50:1 초과, 약 100:1 초과, 약 1000:1 초과이다. 일부 구현예에서, 실질적인 양의 재료가 원하지 않는 표면이나 재료로부터 제거되지 않는다.
일부 구현예에서, 제1 또는 제2 반응물의 유량은 2 sccm보다 더 많을 수 있거나 10 sccm보다 더 많을 수 있거나, 경우에 따라 심지어 50 sccm보다 더 많거나, 100 sccm보다 더 많거나 500 sccm보다 더 많을 수 있다. 일부 구현예에서, 제2 반응물은 간헐적으로 흐른 반면, 제1 반응물은 반응 챔버 내로 연속적으로 흐를 수 있다.
도 1은 ALE 방법의 구현예를 일반적으로 도시한 흐름도이다. 도 1에 도시된 ALE 방법은 제1 노출 단계(100), 제1 제거 단계(110), 제2 노출 단계(120), 및 제2 제거 단계(130)를 포함한다.
일부 구현예에서, 식각 표적 재료를 갖는 기판은 반응 챔버에 놓여, 제1 노출 단계(100)에서 제1 기상 반응물에 노출된다. 일반적으로 식각 표적은 전술한 시간 동안 제1 기상 반응물에 노출된다. 일부 구현예에서, 펄스 시간은 약 0.1 초 내지 10 초, 또는 0.1 초 내지 5 초이다.
제1 노출 단계(100) 이후, 과량의 제1 기상 반응물은 제1 제거 단계(110)에서 반응 챔버로부터 제거된다. 반응 챔버 내의 가스는 진공 펌프로 및/또는 반응기 내부의 가스를 아르곤이나 질소와 같은 불활성 가스로 교체함으로써 배출될 수 있다. 제거 단계(110)는 일반적으로 약 0.05 초 내지 20 초가 걸릴 수 있다. 그러나, 제거 단계는 필요에 따라 더 많거나 더 적은 시간이 걸릴 수 있다.
이어서, 기판은 제2 노출 단계(120)에서 제2 기상 반응물에 노출된다. 제2 반응물은 제1 기상 반응물과 동일한 것일 수 있다. 일반적으로, 식각 표적은 전술한 바와 같은 시간 동안, 예를 들어 약 0.1초 내지 10초 동안 제2 기상 반응물에 노출된다.
제2 노출 단계(120) 이후, 과량의 제2 기상 할라이드 반응물 및 휘발성 반응 부산물은 제2 제거 단계(130)에서 반응 챔버로부터 제거된다. 일부 구현예에서, 제1 노출 단계(100), 제1 제거 단계(110), 제2 노출 단계(120), 및 제2 제거 단계(130)는, 표적 재료의 원하는 식각량이 얻어질 때까지 반복될 수 있는 ALE 식각 사이클(150)을 형성한다. 일부 구현예에서, 제1 노출 단계(100), 제1 제거 단계(110), 제2 노출 단계(120), 및 제2 제거 단계(130)는 10, 20, 50, 100, 200, 500, 또는 1000 사이클 이상 반복될 수 있다.
일부 구현예에서, 식각 표적 재료는 W, Pt, Cu, Ni, Co, Ti, Zn, Nb, Mo, Ta 등과 같은 금속을 포함한다. 일부 구현예에서, 식각 표적 재료는 금속 질화물, 예를 들어 MoN, NbN, SiN, TiN, TaN, WN, AlN 등을 포함한다. 일부 구현예에서, 식각 표적 재료는 SiC, TiC, TaC, AlC, HfC, MoC, NbC 등과 같은 탄화물을 포함한다. 일부 구현예에서, 식각 표적 재료는 유전체 산화물과 같은 산화물, 예를 들어 AlOx, ZrOx, HfOx, TiOx, TaOx, NbOx, MoOx, SiOx, LaOx 등을 포함한다. 일부 구현예에서, 식각 표적 재료는 2D 재료 및/또는 WS2, MoS2, TiS2, SnS2 등과 같은 황을 포함한다. 일부 구현예에서, 식각 표적 재료는 TiONx와 같은 금속 산질화물, WNC와 같은 금속 탄질화물, 산탄화물, 및 가령 Si, C, a-C 또는 그래핀 등과 같은 원소 기판을 포함한다.
일부 구현예에서, 제1 반응물은 Nb, Ta, Mo 또는 W를 포함한다.
도 2를 참조하여, 일부 구현예에 따르면, 반응 공간 내 기판 상의 식각 표적 박막으로서, W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 또는 HfO2를 포함하는 박막은 적어도 하나의 식각 사이클(240)을 포함하는 ALE 공정에 의해 식각되며, 상기 식각 사이클은: 금속 염화 반응물이 기판 표면 상의 박막과 반응하여 흡착된 종을 형성하도록 기판을 여기된 종을 포함하지 않는 기상 금속 염화 반응물과 단계(200)에서 접촉시키는 단계; 과량의 금속 염화 반응물 및 반응 부산물이 있는 경우, 단계(210)에서 이들을 기판 표면으로부터 제거하는 단계; 기판을 반응성 종을 포함하지 않는 제2 염화 반응물과 단계(220)에서 접촉시킴으로써 흡착된 종을 식각 표적 박막의 원자를 포함하는 기상 반응 부산물로 전환시키는 단계; 과량의 제2 염화 반응물 및 반응 부산물이 있는 경우, 이들을 단계(230)에서 기판 표면으로부터 제거하는 단계; 및 상기 접촉 및 제거 단계를 단계(240)에서 선택적으로 반복하여 식각 표적 박막을 원하는 정도로 식각하는 단계를 포함한다.
도 3을 참조하여, 일부 구현예에 따르면, 반응 공간 내 기판 상의 식각 표적 박막으로서, W, TiN, TiO2, SiOC, SiCN, SiOCN, SiON, TaN, SiN, SiOx, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 또는 HfO2를 포함하는 박막은 적어도 하나의 식각 사이클(340)을 포함하는 ALE 공정에 의해 식각되며, 상기 식각 사이클은: 기판을 기상 NbF5와 단계(300)에서 접촉시키는 단계; 과량의 NbF5 및 반응 부산물이 있는 경우, 이들을 단계(310)에서 기판 표면으로부터 제거하는 단계; 기판을 기상 CCl4와 단계(320)에서 접촉시키는 단계; 과량의 CCl4 및 반응 부산물을 단계(330)에서 기판 표면으로부터 제거하는 단계; 및 상기 접촉 및 제거 단계를 단계(340)에서 선택적으로 반복하여 식각 표적 박막을 원하는 정도로 식각하는 단계를 포함한다.
도 4를 참조하여, 일부 구현예에 따르면, 반응 공간 내 기판 상의 식각 표적 박막으로서, W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 또는 HfO2를 포함하는 박막은 적어도 하나의 식각 사이클(440)을 포함하는 ALE 공정에 의해 식각되며, 상기 식각 사이클은: 금속 할라이드 반응물이 기판 표면 상의 박막과 반응하여 흡착된 종을 형성하도록 기판을 여기된 종을 포함하지 않는 기상 금속 할라이드 반응물과 단계(400)에서 접촉시키는 단계; 과량의 금속 할라이드 반응물 및 반응 부산물이 있는 경우, 단계(410)에서 이들을 기판 표면으로부터 제거하는 단계; 기판을 반응성 종을 포함하지 않는 제2 유기 반응물과 단계(420)에서 접촉시킴으로써 흡착된 종을 식각 표적 박막의 원자를 포함하는 기상 반응 부산물로 전환시키는 단계; 과량의 제2 유기 반응물 및 반응 부산물이 있는 경우, 이들을 단계(430)에서 기판 표면으로부터 제거하는 단계; 및 상기 접촉 및 제거 단계를 단계(440)에서 선택적으로 반복하여 식각 표적 박막을 원하는 정도로 식각하는 단계를 포함한다.
도 5를 참조하여, 일부 구현예에 따르면, 반응 공간 내 기판 상의 식각 표적 박막으로서, W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 또는 HfO2를 포함하는 박막은 적어도 하나의 식각 사이클(540)을 포함하는 ALE 공정에 의해 식각되며, 상기 식각 사이클은: 금속 할라이드 반응물이 기판 표면 상의 박막과 반응하여 흡착된 종을 형성하도록 기판을 여기된 종을 포함하지 않는 기상 금속 할라이드 반응물과 단계(500)에서 접촉시키는 단계; 과량의 금속 할라이드 반응물 및 반응 부산물이 있는 경우, 단계(510)에서 이들을 기판 표면으로부터 제거하는 단계; 기판을 반응성 종을 포함하지 않는 제2 부가물 형성 반응물과 단계(520)에서 접촉시킴으로써 흡착된 종을 식각 표적 박막의 원자를 포함하는 휘발성 부가물로 전환시키는 단계; 과량의 제2 부가물 형성 반응물 및 반응 부산물이 있는 경우, 이들을 단계(530)에서 기판 표면으로부터 제거하는 단계; 및 상기 접촉 및 제거 단계를 단계(440)에서 선택적으로 반복하여 식각 표적 박막을 원하는 정도로 식각하는 단계를 포함한다.
일부 구현예에 따르면, 반응 공간 내 기판 상의 식각 표적 박막으로서, Al2O3, HfO2, TiO2 또는 다른 금속 산화물을 포함하는 박막은 적어도 하나의 식각 사이클을 포함하는 ALE 공정에 의해 식각되며, 상기 식각 사이클은: 제1 반응물이 기판 표면 상의 박막과 반응하여 흡착된 종을 형성하도록 기판을 여기된 종을 포함하지 않는 금속 할라이드 또는 CCl4와 접촉시키는 단계; 과량의 제1 반응물 및 반응 부산물이 있는 경우, 이들을 기판 표면으로부터 제거하는 단계; 기판을 반응성 종을 포함하지 않는 1,4-디옥산을 포함하는 제2 유기 반응물과 접촉시킴으로써 흡착된 종을 식각 표적 박막의 원자를 포함하는 휘발성 부가물로 전환시키는 단계; 과량의 제2 유기 반응물 및 반응 부산물이 있는 경우, 이들을 기판 표면으로부터 제거하는 단계; 및 상기 접촉 및 제거 단계를 선택적으로 반복하여 식각 표적 박막을 원하는 정도로 식각하는 단계를 포함한다.
실시예
(열적 및 자연 상태의) SiO2, TiN, TiOx, TaN, AlOx, AlN, ZrOx 및 HfOx의 박막을 식각하기 위해 열적 ALE를 사용하였다. ALE 사이클은 관련된 막을 포함하는 기판을 NbF5 및 CCl4와; NbF5 및 CCl4와 H2O의 조합물과; NbF5 및 CCl4와 O3의 조합물과; 또는 CCl4와만 교대 순차적으로 접촉시키는 단계를 포함하였다. 하기 표 1에 나타난 바와 같이, 각각의 유형의 박막이 사이클당 약 0.1 Å 내지 약 1.8 Å 범위의 식각률로 식각되는 것이 관찰되었다. SiO2 막 또는 SiOx 또는 SiNx 막의 식각은 관찰되지 않았다.
표 1
Figure pat00003
도 6은 열적 ALE 공정 이후의 SiO2, TiN, TiO2, SiN, TaN, ZrO2, 및 Al2O3의 질량, 두께, 시트 저항의 차이를 도시하는 그래프이다. 각 재료의 박막을 포함하는 기판을 Pulsar 2000TM 반응기에 넣었다. 열적 ALE 사이클은 NbF5 및 CCl4의 교대 순차적인 펄스를 포함하였다. 기판 온도는 약 450℃서셉터 온도는 465℃ 상판의 온도는 405℃였다. SiO2, Tin, TiO2, SiN, 및 TaN 막에 대한 1000회의 ALE 사이클 후, 및 ZrO2, Al2O3 및 TiO2 막에 대한 100회의 사이클 후 질량, 두께 및 시트 저항을 측정하였다. TiN, TiO2, TaN, ZrO2, 및 Al2O3에 대한 주목할만한 변화가 그래프로부터 관찰되었다. TiO2의 경우, 1000회의 식각 사이클 후의 막 두께는 약 40 nm 감소하여 전체 층이 소모되었다. 100회의 사이클 후, 두께는 약 20 nm 감소하였다. TaN의 경우, 1000회의 사이클 후 질량은 약 22 mg 감소하였고 시트 저항은 약 11 Ω/□ 감소하였다. ZrO2의 경우, 100회의 사이클 후 두께는 약 5 nm 감소하였다. Al2O3의 경우, 100회의 사이클 후 두께는 약 11 nm 감소하였다.
도 7은 약 450℃의 반응 온도에서 NbF5 및 CCl4로 다양한 횟수의 ALE 사이클을 수행한 후 TiN 및 TaN 막의 중량 및 시트 저항의 변화를 나타낸 그래프이다. 목시 검사를 통해 400회의 사이클 후 웨이퍼의 중심에서 20 nm의 TiN 막이 제거되었음을 확인하였다.
도 8은 일부 구현예에 따른, 및 본원에 설명된 바와 같은 열적 원자층 증착 공정을 거친 후 표적인 AlN, TiN, HfO2, 및 TaN 막의 제거된 질량(mg)을 나타내는 그래프이다. 각각의 표적 막을 각각의 공정에 대해 다양한 반응 온도 또는 식각 온도에서 식각하였다. 제1 반응물로서 NbF5를 포함하고 제2 반응물로서 트리에틸알루미늄(TEA) 또는 트리메틸알루미늄(TMA)을 포함하는 원자층 증착 공정이 표적인 TaN 및 AlN 막으로부터 질량 제거를 야기한다는 것이 예상 외로 밝혀졌다.
도 9는 일부 구현예에 따른, 및 본원에 설명된 바와 같은 다양한 열적 원자층 증착 공정을 거친 후 표적인 TiN, AlN, AlOx, HfOx, TaN, SiN, 및 열산화막의 제거된 질량(mg)을 나타내는 그래프이다. 각각의 표적 막을 각각의 공정에 대해 다양한 식각 온도에서 식각하였다. 제1 및 제2 반응물로서 NbF5 및 TEA를 포함하는 열적 ALE 공정, 제1 및 제2 반응물로서 TEA 및 CCl4를 사용하는 ALE 공정, 및 반응물로서 NbF5, TEA, 및 CCl4를 사용하는 ALE 공정은 표적 막으로부터 질량 제거를 야기한다는 것이 예상 외로 밝혀졌다.
당업자는 본 발명의 사상을 벗어나지 않고 다양한 변형과 변경이 만들어질 수 있음을 이해할 것이다. 첨부된 청구 범위에 의해 정의된 바와 같이, 모든 변형 및 변경은 본 발명의 범주에 속하도록 의도된다.

Claims (24)

  1. 화학 원자층 식각에 의해 기판 상의 막을 식각하는 방법으로서, 상기 방법은 복수의 식각 사이클들을 포함하며, 각각의 식각 사이클은:
    상기 기판을 제1 기상 비금속 옥시할라이드 반응물에 노출시키는 단계; 및
    상기 기판의 근방으로부터 과량의 제1 기상 비금속 옥시할라이드 할라이드 반응물 및 부산물을 제거하는 단계;를 포함하고,
    상기 기판은 상기 식각 사이클 동안에 플라즈마 반응물과 접촉하지 않는, 방법.
  2. 청구항 1에 있어서,
    상기 기판을 기상 금속 또는 반금속 할라이드 반응물에 노출시키는 단계를 더 포함하는, 방법.
  3. 청구항 2에 있어서,
    상기 기상 금속 또는 반금속 할라이드 반응물은 Te, Sb, As, Nb, Ta, Mo, Sn, V, Re, Te, W 또는 6족 전이 금속을 포함하는, 방법.
  4. 청구항 2에 있어서,
    상기 기상 금속 또는 반금속 할라이드 반응물은 황 원자들을 포함하는, 방법.
  5. 청구항 2에 있어서,
    상기 기상 금속 또는 반금속 할라이드 반응물은 산소 원자들을 포함하는, 방법.
  6. 청구항 1에 있어서,
    상기 제1 기상 비금속 옥시할라이드 반응물은 탄소, 산소 및 할라이드 원자들을 포함하는, 방법.
  7. 청구항 1에 있어서,
    상기 기판을 제2 기상 옥시할라이드 반응물에 노출시키는 단계를 더 포함하는, 방법.
  8. 청구항 7에 있어서,
    상기 식각 사이클은 상기 기판을 상기 제1 및 제2 기상 옥시할라이드 반응물들과 다른 제3 기상 반응물에 노출시키는 단계를 추가로 포함하는, 방법.
  9. 청구항 8에 있어서,
    상기 제3 기상 반응물은 H2O2, HCOOH, H2O, O2 또는 O3를 포함하는, 방법.
  10. 청구항 8에 있어서,
    상기 제3 기상 반응물은 트리메틸알루미늄(trimethylaluminum, TMA), Hacac, Sn(acac)2, 또는 Hhfac를 포함하는, 방법.
  11. 청구항 8에 있어서,
    상기 제3 기상 반응물은 부가물 형성 화합물을 포함하는, 방법.
  12. 청구항 11에 있어서,
    상기 부가물 형성 화합물은 CS2, CH3CN, NH3, SO3, 트리스(2-아미노에틸)아민, 트리에탄올아민, 피리딘, 테트라하이드로퓨란(THF), 디메틸술폭시드(DMSO), 테트라하이드로티오펜, 1,4-디옥산, 이소시안산염, 폴리올(poly-ol), 에탄올아민, 술폰, 트리클로로메탄, 알킬 또는 치환된 이소티오시안산염, 트리클로로니트로메탄, 알킬, 아릴 또는 치환된 니트릴, 이소니트릴, 디아민, 디티온, 술폰, TIPA, TIPEA, TMEA 또는 헤테로시클릭 반응성 화합물인, 방법.
  13. 청구항 1에 있어서,
    상기 기판의 근방으로부터 과량의 제1 기상 비금속 옥시할라이드 할라이드 반응물 및 부산물을 제거하는 단계는 상기 기판을 이동시키는 단계를 포함하는, 방법.
  14. 청구항 13에 있어서,
    상기 기판은 제1 반응 챔버로부터 제2의 다른 반응 챔버로 이동되는, 방법.
  15. 청구항 1에 있어서,
    상기 제1 기상 비금속 옥시할라이드 반응물은 반금속을 포함하는, 방법.
  16. 청구항 1에 있어서,
    상기 제1 기상 비금속 옥시할라이드 반응물은 탄소를 포함하지 않는, 방법.
  17. 청구항 1에 있어서,
    상기 제1 기상 비금속 옥시할라이드 반응물은 질소 원자들을 포함하는, 방법.
  18. 청구항 1에 있어서,
    상기 제1 기상 비금속 옥시할라이드 반응물은 황을 포함하는, 방법.
  19. 청구항 1에 있어서,
    상기 기판은 상기 제1 기상 비금속 옥시할라이드 반응물에 노출되는 2개 이상의 다른 재료를 포함하고, 일 재료가 다른 재료들에 대해 선택적으로 식각되는, 방법.
  20. 청구항 1에 있어서,
    상기 막은 금속 산화물, 금속 탄화물, 금속 질화물을 포함하거나 또는 원소 막(elemental film)인, 방법.
  21. 청구항 20에 있어서,
    상기 막은 W, TiN, TiO2, TaN, SiN, SiOX, AlOx, AlO2, Al2O3, ZrOx, ZrO2, WO3, AlN, HfOx 또는 HfO2를 포함하는, 방법.
  22. 청구항 1에 있어서,
    상기 막은 Ti, Mo, Cu, Co, W, Si, Ta, Al, Zr, Hf, Ge, Pt, Ni, Zn, Nb, Ir, Ru, Rh, 또는 Sb를 포함하는, 방법.
  23. 청구항 1에 있어서,
    상기 기판은 반도체 웨이퍼인, 방법.
  24. 청구항 1에 있어서,
    상기 방법은 0.01 내지 5 Å/사이클 의 평균 식각율을 갖는, 방법.
KR1020227020186A 2016-12-09 2017-12-07 열적 원자층 식각 공정 KR20220086713A (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201662432318P 2016-12-09 2016-12-09
US62/432,318 2016-12-09
US201762449945P 2017-01-24 2017-01-24
US62/449,945 2017-01-24
US201762455989P 2017-02-07 2017-02-07
US62/455,989 2017-02-07
US201762485330P 2017-04-13 2017-04-13
US62/485,330 2017-04-13
KR1020217025939A KR102410571B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정
PCT/US2017/065170 WO2018106955A1 (en) 2016-12-09 2017-12-07 Thermal atomic layer etching processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217025939A Division KR102410571B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정

Publications (1)

Publication Number Publication Date
KR20220086713A true KR20220086713A (ko) 2022-06-23

Family

ID=62488576

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020217025939A KR102410571B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정
KR1020197018424A KR102292077B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정
KR1020227020186A KR20220086713A (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정
KR1020170169437A KR102313137B1 (ko) 2016-12-09 2017-12-11 열적 원자층 식각 공정
KR1020210133627A KR102459105B1 (ko) 2016-12-09 2021-10-08 열적 원자층 식각 공정들
KR1020220136147A KR20220149484A (ko) 2016-12-09 2022-10-21 열적 원자층 식각 공정들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020217025939A KR102410571B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정
KR1020197018424A KR102292077B1 (ko) 2016-12-09 2017-12-07 열적 원자층 식각 공정

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020170169437A KR102313137B1 (ko) 2016-12-09 2017-12-11 열적 원자층 식각 공정
KR1020210133627A KR102459105B1 (ko) 2016-12-09 2021-10-08 열적 원자층 식각 공정들
KR1020220136147A KR20220149484A (ko) 2016-12-09 2022-10-21 열적 원자층 식각 공정들

Country Status (6)

Country Link
US (10) US10280519B2 (ko)
JP (4) JP7062658B2 (ko)
KR (6) KR102410571B1 (ko)
CN (2) CN110050331B (ko)
TW (6) TWI773405B (ko)
WO (1) WO2018106955A1 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
CN110050331B (zh) 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
JP6936700B2 (ja) * 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102016927B1 (ko) * 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
WO2019118684A1 (en) * 2017-12-14 2019-06-20 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
US11205700B2 (en) * 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
KR20200096406A (ko) * 2019-02-01 2020-08-12 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
US11380523B2 (en) 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
JP7193731B2 (ja) * 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN113728126A (zh) * 2019-05-15 2021-11-30 昭和电工株式会社 金属除去方法、干蚀刻方法和半导体元件的制造方法
TW202322215A (zh) * 2019-06-11 2023-06-01 美商應用材料股份有限公司 使用氟及金屬鹵化物來蝕刻金屬氧化物
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
JP2022551523A (ja) * 2019-10-11 2022-12-09 クアンタム-エスアイ インコーポレイテッド 気相における表面修飾
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
JP7096279B2 (ja) 2020-03-25 2022-07-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
CN114126731A (zh) * 2020-05-29 2022-03-01 昭和电工株式会社 干蚀刻方法、半导体元件的制造方法和清洁方法
CN113838744A (zh) 2020-06-24 2021-12-24 Asm Ip私人控股有限公司 区域选择性有机材料去除
US11545397B2 (en) * 2020-07-15 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device and method for forming the same
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2022050099A1 (ja) * 2020-09-01 2022-03-10 株式会社Adeka エッチング方法
US20230335378A1 (en) * 2020-09-18 2023-10-19 Lam Research Corporation Passivation chemistry for plasma etching
US11488835B2 (en) * 2020-11-20 2022-11-01 Applied Materials, Inc. Systems and methods for tungsten-containing film removal
US20230027528A1 (en) * 2020-12-10 2023-01-26 Hitachi High-Tech Corporation Semiconductor manufacturing method and semiconductor manufacturing apparatus
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
EP4053879A1 (en) * 2021-03-01 2022-09-07 Imec VZW Deposition of highly crystalline 2d materials
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides
CN112986482B (zh) * 2021-03-11 2023-07-07 中国电子科技集团公司第四十六研究所 用于氮化铝单晶抛光片(0001)面的极性面区分方法
KR20220166786A (ko) * 2021-06-09 2022-12-19 주식회사 히타치하이테크 반도체 제조 방법 및 반도체 제조 장치
WO2023107867A1 (en) * 2021-12-08 2023-06-15 Lam Research Corporation Control of etch profiles in high aspect ratio holes via thermal atomic layer etching
WO2023150520A1 (en) * 2022-02-03 2023-08-10 Merck Patent Gmbh Atomic layer etching of metals using co-reactants as halogenating agents

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255230A (en) * 1980-02-22 1981-03-10 Eaton Corporation Plasma etching process
JPH0387026A (ja) * 1988-12-13 1991-04-11 Fujitsu Ltd 半導体装置の製造方法
JPH05206088A (ja) * 1992-01-29 1993-08-13 Fujikura Ltd 半導体の加工方法
JP2682479B2 (ja) * 1994-12-26 1997-11-26 日本電気株式会社 ドライエッチング方法
KR970009860A (ko) 1995-08-07 1997-03-27 장수영 압축에 의한 습기 제거 방법
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US5998297A (en) * 1996-10-23 1999-12-07 Texas Instruments Incorporated Method of etching copper or copper-doped aluminum
JP3750231B2 (ja) 1996-11-20 2006-03-01 ソニー株式会社 積層配線の形成方法
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
JP2004063633A (ja) * 2002-07-26 2004-02-26 Fujitsu Ltd 半導体レーザの製造方法
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7211477B2 (en) 2005-05-06 2007-05-01 Freescale Semiconductor, Inc. High voltage field effect device and method
KR100707983B1 (ko) 2005-11-28 2007-04-16 주식회사 에이이티 산화막의 원자층 에칭방법
JP4866898B2 (ja) 2006-03-30 2012-02-01 三井造船株式会社 原子層成長装置
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20090088547A1 (en) 2006-10-17 2009-04-02 Rpo Pty Limited Process for producing polysiloxanes and use of the same
US7911001B2 (en) 2007-07-15 2011-03-22 Samsung Electronics Co., Ltd. Methods for forming self-aligned dual stress liners for CMOS semiconductor devices
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
KR20110098355A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
GB2480228B (en) 2010-03-08 2015-05-20 Gskolen I Buskerud Og Vestfold H Speckle reduction
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130312663A1 (en) 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
JP6297269B2 (ja) 2012-06-28 2018-03-20 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ポリマー組成物、このポリマー組成物を含むフォトレジスト、およびこのフォトレジストを含むコーティングされた物品
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
WO2014094103A1 (en) 2012-12-18 2014-06-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9447497B2 (en) 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
TWI591211B (zh) * 2013-03-13 2017-07-11 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
KR101465338B1 (ko) 2013-06-07 2014-11-25 성균관대학교산학협력단 산화 알루미늄에 대한 저손상 원자층 식각 방법
JP2015032597A (ja) 2013-07-31 2015-02-16 日本ゼオン株式会社 プラズマエッチング方法
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
WO2015160412A2 (en) 2014-01-24 2015-10-22 The Regents Of The University Of Colorado Novel methods of preparing nanodevices
WO2015142954A1 (en) 2014-03-18 2015-09-24 The Regents Of The University Of California Metal-organic frameworks characterized by having a large number of adsorption sites per unit volume
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
WO2015153742A1 (en) 2014-04-01 2015-10-08 Montana State University Process of converting natural plant oils to biofuels
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
WO2016100873A1 (en) * 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
JP2016134569A (ja) 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
JP6532066B2 (ja) 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
WO2016172740A2 (en) * 2015-11-10 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching reactants and plasma-free oxide etching processes using the same
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US10982336B2 (en) 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US20200313093A1 (en) 2016-05-20 2020-10-01 Brown University Method for Manufacturing Perovskite Solar Cells and Multijunction Photovoltaics
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
WO2017205658A1 (en) 2016-05-25 2017-11-30 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching on microdevices and nanodevices
US20170345665A1 (en) 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
JP6766184B2 (ja) 2016-06-03 2020-10-07 インテグリス・インコーポレーテッド ハフニア及びジルコニアの蒸気相エッチング
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
JP2020502360A (ja) 2016-10-25 2020-01-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ケイ素含有薄膜の生成方法
CN110050331B (zh) 2016-12-09 2023-07-25 Asm Ip 控股有限公司 热原子层蚀刻工艺
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
WO2019034994A1 (en) 2017-08-16 2019-02-21 3M Innovative Properties Company POLYMER IONOMER SEPARATION MEMBRANES AND METHODS OF USE
CN112840039A (zh) 2018-10-05 2021-05-25 朗姆研究公司 处理室表面移除金属污染物
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
JP2021019202A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 中間チャンバーを備える半導体気相エッチング装置
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching

Also Published As

Publication number Publication date
KR20180066873A (ko) 2018-06-19
TW202208677A (zh) 2022-03-01
JP2023159315A (ja) 2023-10-31
US10280519B2 (en) 2019-05-07
US20200308710A1 (en) 2020-10-01
US20200308709A1 (en) 2020-10-01
US20220119961A1 (en) 2022-04-21
TW202240016A (zh) 2022-10-16
US11230770B2 (en) 2022-01-25
US11739428B2 (en) 2023-08-29
TWI734874B (zh) 2021-08-01
CN116779435A (zh) 2023-09-19
TWI751059B (zh) 2021-12-21
US20190249312A1 (en) 2019-08-15
US20240026548A1 (en) 2024-01-25
US20220119962A1 (en) 2022-04-21
JP2020501373A (ja) 2020-01-16
US10273584B2 (en) 2019-04-30
TW202138615A (zh) 2021-10-16
JP7470763B2 (ja) 2024-04-18
US20180163312A1 (en) 2018-06-14
TWI779933B (zh) 2022-10-01
WO2018106955A1 (en) 2018-06-14
TW201835380A (zh) 2018-10-01
TW202130852A (zh) 2021-08-16
CN110050331A (zh) 2019-07-23
KR102459105B1 (ko) 2022-10-26
KR102313137B1 (ko) 2021-10-18
JP7062658B2 (ja) 2022-05-06
US11230769B2 (en) 2022-01-25
US20180166255A1 (en) 2018-06-14
US20230374671A1 (en) 2023-11-23
US20190242019A1 (en) 2019-08-08
TWI809971B (zh) 2023-07-21
US10662534B2 (en) 2020-05-26
CN110050331B (zh) 2023-07-25
JP2022043274A (ja) 2022-03-15
KR20190093593A (ko) 2019-08-09
TW201835379A (zh) 2018-10-01
TW202305173A (zh) 2023-02-01
KR102410571B1 (ko) 2022-06-22
US10662533B2 (en) 2020-05-26
TWI773405B (zh) 2022-08-01
TWI726178B (zh) 2021-05-01
KR102292077B1 (ko) 2021-08-23
KR20220149484A (ko) 2022-11-08
JP2022185111A (ja) 2022-12-13
KR20210126538A (ko) 2021-10-20
JP7161024B2 (ja) 2022-10-25
KR20210106577A (ko) 2021-08-30
US11739427B2 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
KR102459105B1 (ko) 열적 원자층 식각 공정들
TWI839837B (zh) 蝕刻基板上之膜的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent