TW202121619A - 用於基板支撐件的整合電極和接地平面 - Google Patents

用於基板支撐件的整合電極和接地平面 Download PDF

Info

Publication number
TW202121619A
TW202121619A TW109134525A TW109134525A TW202121619A TW 202121619 A TW202121619 A TW 202121619A TW 109134525 A TW109134525 A TW 109134525A TW 109134525 A TW109134525 A TW 109134525A TW 202121619 A TW202121619 A TW 202121619A
Authority
TW
Taiwan
Prior art keywords
ground
substrate support
electrode
members
leads
Prior art date
Application number
TW109134525A
Other languages
English (en)
Inventor
維傑D 帕克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202121619A publication Critical patent/TW202121619A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0266Shields electromagnetic

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Constitution Of High-Frequency Heating (AREA)

Abstract

在本文中描述的實施例涉及用於在處理腔室中進行射頻(RF)接地的設備。在一個實施例中,加熱器被設置在基板支撐件中。加熱器被接地屏蔽組件包圍。基板支撐件亦包含:設置在其中的多區域電極。多區域電極包含:設置在一平面中的電極的一或更多個部分。多區域接地平面的一或更多個部分與電極的一或更多個部分一同地被插置。意即,多區域接地平面和多區域電極與電極的一或更多個部分共平面,其中電極的一或更多個部分與多區域接地平面的一或更多個部分在基板支撐件的整個平面中交替地出現。

Description

用於基板支撐件的整合電極和接地平面
本揭露的實施例一般性地涉及用於基板處理的基板支撐件,並且更具體地涉及在基板支撐件中的整合的電極和接地平面。
在積體電路和其他的電子裝置的製造中,電漿製程時常用於各種材料層的沉積或蝕刻。與熱處理相比,電漿處理提供許多的優點。舉例而言,電漿增強化學氣相沉積(PECVD)允許沉積製程能夠在更低的溫度下和以更高的沉積速率(相較於在類似的熱製程中可實現的溫度和沉積速率)進行。
電漿處理(例如,電漿增強化學氣相沉積(PECVD))被使用以在基板上沉積材料(例如,毯覆介電膜)。射頻(RF)功率可被使用於激活在處理腔室中的處理氣體。RF功率具有返回源的趨勢。在一些情況中,由於形成雜散電漿的緣故,在腔室的各個區域中損失RF功率。電弧可能從處理腔室中的RF功率中產生,其可能損壞腔室及其組件。接地路徑被提供以利用更可控的方式在預先決定的區域中引導RF功率,而遠離處理腔室的特定的區域,以防止其損失或損壞,並嘗試減少在處理腔室中的雜散電漿或電弧的發生。然而,目前的接地路徑設計很複雜,且造成電弧放電、雜散電漿,或不對稱的接地路徑,從而導致電漿處理中的變化。再者,目前的接地路徑設計使得RF電流能夠在替代的路徑上行進,從而使處理腔室具有更可以重複的效能。
因此,需要改進的基板支撐件設計。
在一個實施例中,提供了一種包含主體的基板支撐件。電極和接地屏蔽組件被設置在主體中。一或更多個加熱元件被設置在接地屏蔽組件內。
在另一個實施例中,提供了一種基板支撐件,基板支撐件包含:具有第一表面和與第一表面相對的第二表面的主體。第一表面包含:複數個檯面和複數個谷部。複數個谷部中的每一者被設置在複數個檯面中的至少兩者之間。電極被設置在主體中。電極的複數個部分中的每一者與複數個檯面中的一者對準。接地屏蔽組件被設置在主體中並形成格子結構。一或更多個加熱元件被設置在主體中並且被接地屏蔽組件包圍。
在又一個實施例中,提供一種處理腔室,處理腔室包含:腔室主體和在其中界定處理空間的蓋。噴頭被設置在處理空間中且耦接至蓋。桿從與蓋相對的腔室主體的底部延伸。基板支撐件被設置在桿上的處理空間中。基板支撐件包含:主體、被設置在主體中的電極,以及被設置在主體中的接地屏蔽組件。一或更多個加熱元件被設置在接地屏蔽組件內。
在本文中描述的實施例涉及用於在處理腔室中進行射頻(RF)接地的設備及其形成方法。在一個實施例中,加熱器被設置在基板支撐件中。加熱器被接地屏蔽組件包圍。基板支撐件亦包含:設置在其中的多區域電極。多區域電極包含:設置在一平面中的電極的一或更多個部分。多區域接地平面中的一或更多個部分與電極的一或更多個部分一同地被插置。意即,多區域接地平面和多區域電極與電極的一或更多個部分共平面,其中電極的一或更多個部分與多區域接地平面的一或更多個部分在基板支撐件的整個平面中交替地出現。
圖1A示例說明根據本揭露的一些實施例的處理腔室100的示意圖。圖1B示例說明根據本揭露的一些實施例的處理腔室180的示意圖。除了在後文中論述的電極120的極性之外,處理腔室180類似於處理腔室100。
處理腔室100包含:腔室主體102和在其中界定處理空間114的蓋104。腔室主體102的底部156與蓋104相對。穿過蓋104形成埠口106。氣體源108與埠口106流體連通。噴頭110耦接至蓋104。穿過噴頭110形成複數個開口112。氣體源108藉由埠口106和開口112與處理空間114流體連通。
基板支撐件116可移動地設置在與蓋104相對的處理空間114中。基板支撐件116包含:設置在桿154上的支撐主體150。支撐主體150包含:支撐表面152,被設置為與桿154相對並且面對噴頭110。在蓋104和底部156之間穿過腔室主體102形成開口148。在操作期間,基板(未示出)經由開口148被裝載到支撐表面152上。致動器142耦接至基板支撐件116,以使得基板支撐件116朝向和遠離噴頭110移動,以在其上裝載和處理基板。
支撐表面152包含:一或更多個檯面118。一或更多個檯面118中的每一者在二或更多個谷部119之間形成。可以藉由從一或更多個檯面118中的每一者之間的支撐表面152去除材料來形成一或更多個谷部119中的每一者。
電極120和接地板122被設置在支撐主體150內。電極120的一或更多個部分被設置在大致上垂直於支撐表面152的平面中。接地板122的一或更多個部分(例如,接地平面部分)123亦被設置在平面中。意即,電極120的一或更多個部分和接地板122的一或更多個部分123被插置並且在整個平面上交替地出現。在可以與前文論述的一或更多個實施例相組合的一個實施例中,電極120和接地板122是交替的且共平面的。在可以與前文論述的一或更多個實施例相組合的另一個實施例中,電極120和接地板122是相互交錯的且共平面的。在一個實施例中,電極120被接地部分123(例如,以同軸結構)包圍。在一或更多個其他的實施例中,電極120在被接地部分123(例如,以同軸結構)包圍的同時,與RF功率和高電壓DC功率耦合。
如同在圖1A中所示例說明者,電極120是單極的。在可以與前文論述的一或更多個實施例相組合的其他的實施例中,電極120是雙極的(如同在圖1B中所示例說明者)。電極120的一或更多個部分中的每一者與一或更多個檯面118中的一者垂直地對準。意即,電極120中的一或更多個部分中的每一者被設置在一或更多個檯面118中的一者與腔室主體102的底部156之間。接地板122的一或更多個部分123中的每一者與一或更多個谷部119中的一者對準。
電極120的一或更多個部分中的每一者耦接至複數個射頻(RF)跨接引線132中的一者。每條RF跨接引線132耦接至一或更多條RF引線126。RF引線126耦接至RF電源146。RF電源146將RF功率提供給電極120的一或更多個部分。
在一個實施例中,電極120包含:複數個電極(或電極部分)。此外,接地板122包含:複數個接地板122。電極120中的每一者經調試以分別地從RF電源146和電源144接收RF功率以及來自DC電源的夾持電壓。電極120與RF跨接引線132相互交錯。每一條RF跨接引線132與接地板122(例如,接地部分123(例如,以同軸結構))同軸地對準。當RF功率(從基板支撐件116的頂部或底部)被施加至基板支撐件116時,由接地板122提供對稱的接地返回路徑。這提供了良好的膜均勻性和/或將基板支撐件116下方的雜散電漿形成的電弧最小化。
施加至電極120的一或更多個部分的DC功率促進靜電力的產生,以將基板保持在支撐表面152上。意即,可以在將基板裝載到支撐表面152上之後且在處理期間將RF功率提供給電極120的一或更多個部分。
一或更多個加熱元件124被設置在支撐主體150中。一或更多個加熱元件124可以是電阻性加熱器。一或更多個加熱元件124可以是螺旋線圈,或從支撐主體150的中心徑向向外延伸。一或更多個加熱元件124藉由一或更多條加熱器電源線136耦接至電源144。在可以與前文描述的一或更多個實施例相組合的一些實施例中,電源144是直流(DC)電源。在可以與前文描述的一或更多個實施例相組合的一些實施例中,一或更多個加熱元件124對支撐主體150進行多區域加熱。如同在圖1A和1B中所顯示者,一或更多個加熱元件124被排置在大致上平行於接地板122的平面並在接地板122的平面下方的平面中。然而,亦可以考慮其他的配置。
一或更多個加熱元件124被接地屏蔽組件160包圍。接地屏蔽組件160包含:第一構件162、第二構件164,及第三構件166。每個構件162、164、166可為(例如)(除了其他的導電材料之外):導電網、線,或片,以促進建構圍繞一或更多個加熱元件124的法拉第籠。第三構件166被設置為與第一構件162相對。第三構件166可包含:一或更多個區段,此些區段可以與第一構件162和第二構件164大致上平行或大致上垂直。
接地板122的一或更多個部分123中的每一者藉由一或更多條接地跨接線138耦接至共同的接地140。共同的接地140與第一構件162的至少一部分共平面。一或更多條接地跨接線138可以直接地耦接至第一構件162。第一構件162和第三構件166藉由一或更多條接地引線134直接地耦接至接地。
接地屏蔽組件160的第一構件162直接地耦接至第二構件164與接地引線134之間並在第二構件164與接地引線134之間延伸。第二構件164耦接至第一構件162與第三構件166之間,並在第一構件162和第三構件166之間延伸。第三構件166耦接至第二構件164和接地引線134之間,並在第二構件164和接地引線134之間延伸。一或更多個加熱元件124被設置在第一構件162和第三構件166之間,以及在第二構件164與接地引線134之間。第三構件166被設置在基板支撐件116的主體150內。在可以與前文描述的一或更多個實施例相組合的一些實施例中,第三構件166被設置在基板支撐件116的主體150的底表面128的外部,並被設置為沿著基板支撐件116的主體150的底表面128。
在可以與前文描述的一或更多個實施例相組合的一些實施例中,第一構件162、第二構件164、第三構件166,及接地引線134中的一或更多者是柔性導體(例如電纜或線)。在可以與前文描述的一或更多個實施例相組合的其他的實施例中,第一構件162、第二構件164、第三構件166,及接地引線134中的一或更多者是剛性導體。
在操作中,一或更多種氣體從氣體源108被提供至處理空間114。氣體流動通過在蓋104中的埠口106和在噴頭110中的開口112。DC功率藉由電源144被供應至一或更多個加熱元件124。一或更多個加熱元件124將基板支撐件116和設置在支撐表面152上的基板加熱至處理溫度。
RF功率藉由RF功率源146被供應至電極120。RF功率激活在處理空間114中的氣體,並且在其中產生電漿。電漿被使用以在基板上沉積材料。RF功率具有返回RF功率源146的趨勢。然而,RF返回電流可行進至處理腔室100的其他的組件(例如,一或更多個加熱元件124和與其連接的電源144),從而導致對彼些組件中的一或更多個的損壞。
接地屏蔽組件160在一或更多個加熱元件124周圍形成格子狀結構,並大大地減少了流向一或更多個加熱元件124、加熱器電源線136,及電源144的RF返回電流的發生。意即,接地屏蔽組件160提供了到接地的低阻抗路徑,以使得:在接地屏蔽組件160中感應出行進通過支撐主體150的任何的RF電流,並且此RF電流被引導至接地。
在一些實施例中,接地屏蔽組件160藉由一或更多條接地帶168(在圖1B中示出)耦接至腔室主體102。在彼情況下,腔室主體102耦接至接地。接地帶168為行進通過接地屏蔽組件160的任何RF電流提供低阻抗接地路徑。
圖2示例說明根據本揭露的一些實施例的接地板122的俯視示意圖。接地板122包含:穿過其中形成的複數個孔202。意即,複數個孔202中的每一者被接地板122的一部分204包圍。
在操作中,電極的部分(例如,相關於圖1A和1B論述的電極120)被設置在孔202中,以使得電極120與接地板122共平面。電極120的部分和接地板122藉由一空間(未示出)隔開,以使得:電極120的部分不接觸接地板122。每個孔202與基板支撐件(例如,相關於圖1A和1B論述的檯面118和基板支撐件116)的檯面對準。
在一些實施例中,電極120的部分被設置在接地板122的上方。利用此方式,大大地減少了由於電極120被接地板122(和接地屏蔽組件160)分流而產生的電場的發生。若電極120被設置在接地板122下方,則電場將會被分流。
圖3是根據一些實施例的用於形成基板支撐件的方法300。方法300開始於操作302,其中(例如)藉由增材製造或絲網印刷在第一陶瓷片的頂表面上印刷第一接地平面。接地平面可以由導電材料製成。在操作304處,在第一陶瓷片中形成第一組的通孔。
在操作306處,將加熱器電極印刷在第二陶瓷片的頂表面上。加熱器電極可以由導電材料製成。在操作308處,在第二陶瓷片中形成第二組通孔。第二通孔與第一通孔垂直地對準。在操作310處,第二接地平面被印刷在第三陶瓷片的頂表面上。在操作312處,在第三陶瓷片中形成第三組通孔。第三通孔與第一通孔垂直地對準。
在操作314處,將第三接地平面和RF電極印刷在第四陶瓷片的頂表面上。第三接地平面和RF電極為至少部分地共平面。在操作316處,在第四陶瓷片中形成第四組通孔。第四通孔與第一通孔垂直地對準。在操作318處,第五陶瓷片被設置在第一片的頂表面上,以形成基板支撐件的主體。每個陶瓷片可以由含陶瓷的材料(例如,氮化鋁)製成。
儘管前述者是關於本揭露的實施例,但是在不偏離其基本範疇,以及由後續的申請專利範圍決定的其範疇的情況下,可以設想本揭露的其他和另外的實施例。
100:處理腔室 102:腔室主體 104:蓋 106:埠口 108:氣體源 110:噴頭 112:開口 114:處理空間 116:基板支撐件 118:檯面 119:谷部 120:電極 122:接地板 123:部分 124:加熱元件 126:RF引線 128:底表面 132:RF跨接引線 134:接地引線 136:加熱器電源線 138:接地跨接線 140:共同的接地 142:致動器 144:電源 146:RF電源 148:開口 150:支撐主體 152:支撐表面 154:桿 156:底部 160:接地屏蔽組件 162:第一構件 164:第二構件 166:第三構件 168:接地帶 180:處理腔室 202:孔 204:部分 300:方法 302:操作 304:操作 306:操作 308:操作 310:操作 312:操作 314:操作 316:操作 318:操作
為了使得可詳細地理解前文引述本揭露的特徵的方式,本揭露的更為特定的描述(在前文中簡短地概述者)可藉由參照實施例來獲得,此些實施例中的一些者被示例說明於隨附的圖式中。然而,應注意到:隨附的圖式僅示例說明示例性的實施例,因而不被認為是對其範疇作出限制(因為本揭露可容許其他的同等有效的實施例)。
圖1A示例說明根據一些實施例的處理腔室的示意性的剖面圖。
圖1B示例說明根據本揭露的一些實施例的處理腔室的示意性的剖面圖。
圖2示例說明根據一些實施例的基板支撐件的俯視示意圖。
圖3是根據一些實施例的用於形成基板支撐件的方法。
為了要促進理解,在可能的情況中已經使用相同的元件符號以指定給圖式共用的相同的元件。考慮到:一實施例的元件和特徵可被有利地併入其他的實施例中,而無需進一步的詳述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
102:腔室主體
104:蓋
106:埠口
108:氣體源
110:噴頭
112:開口
114:處理空間
116:基板支撐件
118:檯面
119:谷部
120:電極
122:接地板
123:部分
124:加熱元件
126:RF引線
128:底表面
132:RF跨接引線
134:接地引線
136:加熱器電源線
138:接地跨接線
142:致動器
144:電源
146:RF電源
148:開口
150:支撐主體
152:支撐表面
154:桿
156:底部
160:接地屏蔽組
162:第一構件
164:第二構件
166:第三構件

Claims (20)

  1. 一種基板支撐件,包含: 一陶瓷主體; 一電極,被設置在該陶瓷主體中; 一接地屏蔽組件,與該電極形成一同軸結構,該接地屏蔽組件被設置在該陶瓷主體中,該接地屏蔽組件由一或更多個導電元件形成;及 一或更多個加熱元件,被設置在該接地屏蔽組件內。
  2. 如請求項1所述之基板支撐件,進一步包含: 一接地板,被設置在該陶瓷主體中,該接地板的至少一部分與該電極共平面。
  3. 如請求項1所述之基板支撐件,其中該接地屏蔽組件包含: 一或更多條接地引線; 一第一導電構件,與該一或更多條接地引線相對; 一第二導電構件,在該一或更多條接地引線與該第一導電構件之間延伸;及 一第三導電構件,與該第二導電構件相對,並且在該一或更多條接地引線與該第一導電構件之間延伸。
  4. 如請求項3所述之基板支撐件,其中該第一導電構件在該一或更多條接地引線的徑向外側,並且該第一導電構件從該第二導電構件延伸至該第三導電構件。
  5. 如請求項1所述之基板支撐件,其中該接地屏蔽組件包含: 一或更多條接地引線; 複數個第一導電構件,至少部分地大致上平行於該一或更多條接地引線,該複數個第一導電構件被設置在該一或更多條接地引線的徑向外側; 複數個第二導電構件,在該一或更多條接地引線與該複數個第一導電構件之間延伸;及 複數個第三導電構件,與該複數個第二導電構件相對,並且在該一或更多條接地引線與該複數個第一導電構件之間延伸。
  6. 如請求項5所述之基板支撐件,其中該接地屏蔽組件形成包圍該一或更多個加熱元件的一格子結構。
  7. 如請求項6所述之基板支撐件,其中該格子結構是一法拉第籠。
  8. 一種基板支撐件,包含: 一主體,具有一第一表面和與該第一表面相對的一第二表面,該第一表面包含:複數個檯面和複數個谷部,該複數個谷部中的每一者被設置在該複數個檯面中的至少兩者之間; 一電極,被設置在該主體中,該電極的複數個部分中的每一者與該複數個檯面中的一者對準; 一接地屏蔽組件,被設置在該主體中,該接地屏蔽組件形成一格子結構;及 一或更多個加熱元件,被設置在該主體中並且被該接地屏蔽組件包圍。
  9. 如請求項8所述之基板支撐件,其中該接地屏蔽組件包含: 一或更多條接地引線; 複數個第一構件,與該一或更多條接地引線相對且在該一或更多條接地引線的徑向向外之處; 複數個第二構件,該複數個第二構件中的每一者從該一或更多條接地引線延伸至該複數個第一構件;及 複數個第三構件,與該複數個第二構件相對,該複數個第三構件中的每一者從該一或更多條接地引線延伸至該複數個第一構件。
  10. 如請求項9所述之基板支撐件,其中該接地屏蔽組件的阻抗小於耦合至該電極的一或更多條電源線的阻抗。
  11. 如請求項8所述之基板支撐件,其中該電極是單極的。
  12. 如請求項8所述之基板支撐件,其中該電極是雙極的。
  13. 如請求項8所述之基板支撐件,進一步包含: 一接地板,被設置在該主體中並耦接至該接地屏蔽組件,其中該接地板和該電極是共平面的。
  14. 一種處理腔室,包含: 一腔室主體和在其中界定一處理空間的一蓋; 一噴頭,被設置在該處理空間中並耦接至該蓋; 一桿,從該腔室主體的與該蓋相對的一底部延伸;及 一基板支撐件,被設置在該桿上的該處理空間中,該基板支撐件包含: 一主體; 一電極,被設置在主體中; 一接地屏蔽組件,被設置在該主體中;及 一或更多個加熱元件,被設置在該接地屏蔽組件內。
  15. 如請求項14所述之處理腔室,進一步包含: 一接地板,被設置在該主體中,該接地板的至少一部分與該電極共平面。
  16. 如請求項15所述之處理腔室,其中該接地屏蔽組件包含: 一或更多條接地引線; 一第一構件,與該一或更多條接地引線相對且在該一或更多條接地引線的徑向向外之處; 一第二構件,從該一或更多條接地引線延伸至該第一構件;及 一第三構件,從該一或更多條接地引線延伸至該第一構件並與該第二構件相對。
  17. 如請求項16所述之處理腔室,其中該一或更多個加熱元件被封圍在該接地屏蔽組件內。
  18. 如請求項17所述之處理腔室,其中該第一構件從該第二構件延伸至該第三構件。
  19. 如請求項18所述之處理腔室,其中該接地屏蔽組件包含: 複數個第一構件; 複數個第二構件;及 複數個第三構件。
  20. 如請求項19所述之處理腔室,其中該接地屏蔽組件形成包圍該一或更多個加熱元件的一格子結構。
TW109134525A 2019-10-07 2020-10-06 用於基板支撐件的整合電極和接地平面 TW202121619A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962911729P 2019-10-07 2019-10-07
US62/911,729 2019-10-07

Publications (1)

Publication Number Publication Date
TW202121619A true TW202121619A (zh) 2021-06-01

Family

ID=75274292

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134525A TW202121619A (zh) 2019-10-07 2020-10-06 用於基板支撐件的整合電極和接地平面

Country Status (6)

Country Link
US (1) US12020912B2 (zh)
JP (1) JP7489454B2 (zh)
KR (1) KR20220073831A (zh)
CN (1) CN114467162A (zh)
TW (1) TW202121619A (zh)
WO (1) WO2021071659A1 (zh)

Families Citing this family (170)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) * 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) * 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880924A (en) 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
JPH11214494A (ja) 1998-01-26 1999-08-06 Taiheiyo Cement Corp 静電チャック
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
EP2170022A1 (en) 2008-09-25 2010-03-31 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Plasma applicator and corresponding method
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106920725B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 一种聚焦环的温度调整装置及方法
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
JP7002357B2 (ja) 2018-02-06 2022-01-20 株式会社日立ハイテク プラズマ処理装置

Also Published As

Publication number Publication date
CN114467162A (zh) 2022-05-10
JP2022550482A (ja) 2022-12-01
KR20220073831A (ko) 2022-06-03
JP7489454B2 (ja) 2024-05-23
WO2021071659A1 (en) 2021-04-15
US12020912B2 (en) 2024-06-25
US20210104384A1 (en) 2021-04-08

Similar Documents

Publication Publication Date Title
TW202121619A (zh) 用於基板支撐件的整合電極和接地平面
TWI719333B (zh) 基板處理設備
US10373853B2 (en) Electrostatic chuck and wafer processing apparatus
TWI590373B (zh) 有著對稱供給結構之基板支架
US10332728B2 (en) Plasma processing apparatus
WO2018163935A1 (ja) ウエハ支持台
TWI762551B (zh) 電漿處理裝置
TWI644393B (zh) Electrostatic chuck
JP2021002666A (ja) 高温rf用途のための静電チャック
TW201534754A (zh) 像素化溫度控制的基板支撐組件
JP6518666B2 (ja) 薄い基板をハンドリングするための静電キャリア
US20070209933A1 (en) Sample holding electrode and a plasma processing apparatus using the same
US9583313B2 (en) Plasma processing apparatus and plasma processing method
CN107546095A (zh) 支撑组件、用于处理基底的装置和方法
TWI279169B (en) Plasma processing apparatus capable of performing uniform plasma treatment by preventing drift in plasma discharge current
TW201621969A (zh) 用於電漿處理裝置的加熱器
JP2020113618A (ja) 誘導結合プラズマ処理装置
US20180213608A1 (en) Electrostatic chuck with radio frequency isolated heaters
TWI544106B (zh) Electrolyte processing device
TWI702632B (zh) 用於等離子體處理設備的rf訊號傳遞裝置
CN108074855A (zh) 含形成法拉第笼的部分的夹持组件的静电卡盘和相关方法
KR20070050111A (ko) 균일한 온도제어를 위한 정전척 및 이를 포함하는 플라즈마발생장치
JP2023546483A (ja) 静電チャック及び半導体プロセス装置
CN111883473B (zh) 静电吸盘及晶片处理装置
TWI594360B (zh) 用於將射頻(rf)與直流(dc)能量耦合至一或多個共用電極的電容組件