TW202116788A - 半導體光阻組成物和使用其形成圖案的方法 - Google Patents

半導體光阻組成物和使用其形成圖案的方法 Download PDF

Info

Publication number
TW202116788A
TW202116788A TW109134748A TW109134748A TW202116788A TW 202116788 A TW202116788 A TW 202116788A TW 109134748 A TW109134748 A TW 109134748A TW 109134748 A TW109134748 A TW 109134748A TW 202116788 A TW202116788 A TW 202116788A
Authority
TW
Taiwan
Prior art keywords
substituted
photoresist composition
chemical formula
hydrocarbon group
weight
Prior art date
Application number
TW109134748A
Other languages
English (en)
Other versions
TWI745113B (zh
Inventor
文京守
姜恩美
金宰賢
金智敏
南宮爛
禹昌秀
田桓承
蔡承龍
韓承
Original Assignee
南韓商三星Sdi股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星Sdi股份有限公司 filed Critical 南韓商三星Sdi股份有限公司
Publication of TW202116788A publication Critical patent/TW202116788A/zh
Application granted granted Critical
Publication of TWI745113B publication Critical patent/TWI745113B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2224Compounds having one or more tin-oxygen linkages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2045Exposure; Apparatus therefor using originals with apertures, e.g. stencil exposure masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本發明涉及一種半導體光阻組成物和使用其來形成圖案的方法,半導體光阻組成物包含有機金屬化合物和溶劑,有機金屬化合物包含由化學式1到化學式3表示的化合物中的至少一種。

Description

半導體光阻組成物和使用其形成圖案的方法
本發明是有關於一種半導體光阻組成物和使用所述半導體光阻組成物來形成圖案的方法。相關申請的交叉引用
本申請要求對2019年10月15日在韓國智慧財產權局申請的韓國專利申請第10-2019-0127958號的優先權和權益,所述專利申請的全部內容以引用的方式併入本文中。
極紫外(extreme ultraviolet;EUV)光刻作為用於製造下一代半導體裝置的一種基本技術而受到關注。EUV光刻是使用具有約13.5奈米的波長的EUV射線作為曝光光源的圖案形成技術。根據EUV光刻,已知可在半導體裝置的製造期間在曝光製程中形成極精細圖案(例如,小於或等於約20奈米)。
極紫外(EUV)光刻通過相容的光阻的顯影來實現,其可在小於或等於約16奈米的空間解析度下進行。目前,正在努力滿足用於下一代裝置的傳統化學放大(chemically amplified;CA)光阻的不足規格,例如解析度、感光速度以及特徵粗糙度(或也稱為線邊緣粗糙度或LER(line edge roughness;LER))。
因這些聚合物型光阻中的酸催化反應所致的固有圖像模糊限制小特徵大小中的解析度,這在電子束(electron beam;e-beam)光刻中已長期為人所知。化學放大(CA)光阻針對高靈敏度設計,但由於其典型元素組成降低光阻在約13.5奈米的波長下的光吸收且因此降低其靈敏度,所以化學放大(CA)光阻在EUV曝光下可能部分地具有更多困難。
此外,由於粗糙度問題,CA光阻可能在小特徵大小方面具有困難,且在實驗上,CA光阻的線邊緣粗糙度(LER)增加,因為感光速度部分地因酸催化劑製程的本質而降低。因此,由於CA光阻的這些缺陷和問題,在半導體工業中需要新穎高性能光阻。
為了克服化學放大(CA)光敏組成物的前述缺點,已研究了無機光敏組成物。無機光敏組成物主要用於負性圖案化,所述負性圖案化由於通過非化學放大機制的化學改性而具有抵抗由顯影劑組成物去除的耐性。無機組成物含有具有比烴更高的EUV吸收速率的無機元素,且因此可通過非化學放大機制確保靈敏度,且此外,對隨機效應更不敏感,且因此已知具有低線邊緣粗糙度和較少量缺陷。
近年來,當陽離子鉿金屬氧化物硫酸鹽(HfSOx)材料已與過氧絡合劑一起用於通過投射EUV曝光使15奈米半間距(half-pitch;HP)成像時,已獲得令人印象深刻的性能。然而,由於使用強腐蝕性酸的使用、儲存穩定性差且難以改變結構以改進性能,所以難以處理具有過氧絡合劑的金屬氧化物類無機抗蝕劑。
一實施例提供一種具有改進的靈敏度和儲存穩定性的半導體光阻組成物。
另一實施例提供一種使用半導體光阻組成物來形成圖案的方法。
一種根據實施例的半導體光阻組成物包含:有機金屬化合物,包含由化學式1到化學式3表示的化合物中的至少一種;以及溶劑。 [化學式1]
Figure 02_image001
[化學式2]
Figure 02_image003
[化學式3]
Figure 02_image004
在化學式1到化學式3中, R1 到R5 獨立地為取代或未取代的C1到C20烷基、取代或未取代的C3到C20環烷基、取代或未取代的C6到C20芳基或其組合, X1 到X5 獨立地為-ORa 或-OC(=O)Rb , Ra 和Rb 獨立地為氫、取代或未取代的單價C1到C20飽和脂族烴基、取代或未取代的單價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的單價C2到C20不飽和脂族烴基、取代或未取代的單價C6到C30芳族烴基或其組合,且 Y1 到Y5 獨立地為單鍵、取代或未取代的二價C1到C20飽和脂族烴基、取代或未取代的二價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的二價C2到C20不飽和脂族烴基、取代或未取代的二價C6到C20芳族烴基或其組合。
R1 到R5 可獨立地為取代或未取代的C1到C10烷基、取代或未取代的C3到C10環烷基、取代或未取代的C6到C10芳基或其組合, Ra 和Rb 可獨立地為氫、取代或未取代的單價C1到C10飽和脂族烴基、取代或未取代的單價C3到C10飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的單價C2到C10不飽和脂族烴基、取代或未取代的單價C6到C10芳族烴基或其組合,且 Y1 到Y5 可獨立地為單鍵、取代或未取代的二價C1到C8飽和脂族烴基、取代或未取代的二價C3到C6飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的二價C2到C8不飽和脂族烴基、取代或未取代的二價C6到C10伸芳基或其組合。
R1 到R5 可獨立地為甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、苯基、甲苯基、二甲苯基、苄基或其組合, Ra 和Rb 可獨立地為氫、甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合,且 Y1 到Y5 可獨立地為單鍵、亞甲基(methylene group)、伸乙基、伸丙基、伸丁基、伸環丁基、伸環戊基、伸環己基、伸乙烯基、伸丙烯基、伸苯基或其組合。
R1 到R5 可獨立地為甲基、乙基、異丙基、第三丁基、苯基以及苄基中的一種, Ra 和Rb 可獨立地為甲基或乙基,且 Y1 到Y5 可獨立地為單鍵、亞甲基以及伸乙基中的一種。
在化學式2中,R2 與R3 可相同,且X2 到X5 可均相同。
在化學式3中,R4 與R5 可相同,且Y4 與Y5 可均相同。
所述半導體光阻組成物可包含有機金屬化合物,所述有機金屬化合物包含所有由化學式1到化學式3表示的所述化合物。
按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物可包含1重量%到20重量%的由化學式1到化學式3表示的所述化合物中的至少一種。
按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物可包含1重量%到20重量%的由化學式1表示的所述化合物。
按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物可包含1重量%到20重量%的由化學式2表示的所述化合物。
按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物可包含1重量%到20重量%的由化學式3表示的所述化合物。
所述半導體光阻組成物可進一步包含表面活性劑、交聯劑、流平劑或其組合的添加劑。
一種根據另一實施例的形成圖案的方法包含:在基底上形成蝕刻目標層;將半導體光阻組成物塗布在所述蝕刻目標層上以形成光阻層;使所述光阻層圖案化以形成光阻圖案;以及使用所述光阻圖案作為蝕刻遮罩來蝕刻所述蝕刻目標層。
可使用波長為5奈米到150奈米的光來形成所述光阻圖案。
根據實施例的半導體光阻組成物具有改進的儲存穩定性和靈敏度特性,且因此可提供具有改進的靈敏度和高縱橫比而沒有圖案塌陷的光阻圖案。
在下文中,將參考附圖詳細描述本發明的示範性實施例。然而,在本公開的描述中,為了闡明本公開的要點,將省略對已知功能或組件的描述。
為了清楚地描述本公開,省略與描述不相關的部件,且貫穿本說明書,相同附圖標號是指相同或相似組件。此外,由於為描述方便起見而任選地表示圖中所繪示的每一組件的大小和厚度,所以本公開不限於圖示。
在圖中,為清楚起見誇大了層、膜、面板、區等的厚度。在圖中,為清楚起見誇大了層或區等的一部分的厚度。應理解,當將一元件(例如層、膜、區或基底)稱為「在」另一元件「上」時,其可直接在另一元件上或也可存在介入元件。
如本文中所使用,「取代的」是指氫原子由以下置換:氘、鹵素、羥基、氰基、硝基、-NRR'(其中,R和R'獨立地為氫、取代或未取代的單價C1到C30飽和或不飽和脂族烴基、取代或未取代的單價C3到C30飽和或不飽和脂環族烴基,或取代或未取代的單價C6到C30芳族烴基)、-SiRR'R"(其中,R、R'以及R"獨立地為氫、取代或未取代的單價C1到C30飽和或不飽和脂族烴基、取代或未取代的單價C3到C30飽和或不飽和脂環族烴基,或取代或未取代的單價C6到C30芳族烴基)、C1到C30烷基、C1到C10鹵代烷基、C1到C10烷基甲矽烷基、C3到C30環烷基、C6到C30芳基、C1到C20烷氧基或其組合。「未取代的」是指氫原子未由另一取代基置換且保留氫原子。
如本文中所使用,當未另外提供具體定義時,「雜」是指在一個官能團中包含由N、O、S以及P中選出的1個到3個雜原子和其餘碳。
如本文中所使用,當未另外提供定義時,「烷基」是指直鏈或支鏈脂族烴基。烷基可以是不具有任何雙鍵或三鍵的「飽和烷基」。
烷基可以是C1到C20烷基。舉例來說,烷基可以是C1到C10烷基、C1到C8烷基、C1到C6烷基或C1到C4烷基。舉例來說,C1到C4烷基可以是甲基、乙基、丙基、異丙基、正丁基、異丁基、第二丁基或第三丁基。
如本文中所使用,「飽和脂族烴基」是指其中分子中碳原子與碳原子之間的鍵由單鍵形成的烴基。
飽和脂族烴基可以是C1到C20飽和脂族烴基。舉例來說,飽和脂族烴基可以是C1到C10飽和脂族烴基、C1到C8飽和脂族烴基、C1到C6飽和脂族烴基、C1到C4飽和脂族烴基或C1到C2飽和脂族烴基。舉例來說,C1到C6飽和脂族烴基可以是甲基、乙基、丙基、異丙基、正丁基、異丁基、第二丁基、2,2-二甲基丙基或第三丁基。
如本文中所使用,「飽和脂環族烴基」是指包含其中分子中碳與碳原子之間的鍵由單鍵形成的環的烴基。
飽和脂環族烴基可以是C3到C10飽和脂環族烴基。舉例來說,飽和脂環族烴基可以是C3到C8飽和脂環族烴基、C3到C6飽和脂環族烴基、C3到C5飽和脂環族烴基或C3或C4飽和脂環族烴基。舉例來說,C3到C6飽和脂環族烴基可以是環丙基、環丁基、環戊基或環己基。
如本文中所使用,「不飽和脂族烴基」是指包含其中分子中碳原子與碳原子之間的鍵是雙鍵、三鍵或其組合的鍵的烴基。
不飽和脂族烴基可以是C2到C20不飽和脂族烴基。舉例來說,不飽和脂族烴基可以是C2到C10不飽和脂族烴基、C2到C8不飽和脂族烴基、C2到C6不飽和脂族烴基或C2到C4不飽和脂族烴基。舉例來說,C2到C4不飽和脂族烴基可以是乙烯基、乙炔基、烯丙基、1-丙烯基、2-丙烯基、1-丙炔基、2-丙炔基、1-丁烯基、2-丁烯基、3-丁烯基、1-丁炔基、2-丁炔基以及3-丁炔基。
如本文中所使用,「不飽和脂環族烴基」是指包含環的烴基,所述環包含碳原子之間的為雙鍵或三鍵的鍵。
不飽和脂環族烴基可以是C3到C10不飽和脂環族烴基。舉例來說,不飽和脂環族烴基可以是C3到C8不飽和脂環族烴基、C3到C6不飽和脂環族烴基、C3到C5不飽和脂環族烴基或C3或C4不飽和脂環族烴基。舉例來說,C3到C6不飽和脂環族烴基可以是1-環丙烯基、2-環丙烯基、1-環丁烯基、2-環丁烯基、1-環戊烯基、2-環戊烯基、3-環戊烯基、1-環己烯基、2-環己烯基以及3-環己烯基。
如本文中所使用,「芳族烴基」是指在分子中包含芳環基的烴基。
芳族烴基可以是C6到C10芳族烴基。舉例來說,芳族烴基可以是苯基或萘基。
如本文中所使用,除非另外定義,否則「烯基」是指包含至少一個雙鍵作為直鏈或支鏈脂族烴基的脂族不飽和烯基。
如本文中所使用,當未另外提供定義時,「環烷基」是指單價環狀脂族烴基。
如本文中所使用,「芳基」是指其中環狀取代基中的所有原子具有p-軌道且這些p-軌道是共軛的,且可包含單環或稠環多環官能團(即,共用相鄰碳原子對的環)官能團的取代基。
根據本發明的實施例的半導體光阻組成物包含有機金屬化合物和溶劑。有機金屬化合物包含由化學式1到化學式3表示的化合物中的至少一種。 [化學式1]
Figure 02_image001
[化學式2]
Figure 02_image003
[化學式3]
Figure 02_image004
在化學式1到化學式3中, R1 到R5 獨立地為取代或未取代的C1到C20烷基、取代或未取代的C3到C20環烷基、取代或未取代的C6到C20芳基或其組合, X1 到X5 獨立地為-ORa 或-OC(=O)Rb , Ra 和Rb 獨立地為氫、取代或未取代的單價C1到C20飽和脂族烴基、取代或未取代的單價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的單價C2到C20不飽和脂族烴基、取代或未取代的單價C6到C30芳族烴基或其組合,且 Y1 到Y5 獨立地為單鍵、取代或未取代的二價C1到C20飽和脂族烴基、取代或未取代的二價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的二價C2到C20不飽和脂族烴基、取代或未取代的二價C6到C20芳族烴基或其組合。
有機金屬化合物可包含由化學式1到化學式3表示的化合物中的至少一種。由化學式1到化學式3表示的化合物可包含錫(Sn),其在約13.5奈米處強烈吸收極紫外(ultraviolet;UV)光且因此對具有高能量的光呈現極好的靈敏度。
另一方面,由化學式1到化學式3表示的有機錫化合物在分子中包含錫(Sn)元素和二羧酸基團,且二羧酸基團中的一個與錫(Sn)元素兩次鍵合且展示雙齒配體的形式。
由於二羧酸基團與錫(Sn)元素兩次鍵合且變成雙齒配體,所以分子具有二羧酸基團圍繞錫(Sn)元素的結構,且因此具有龐大得多的結構。因此,二羧酸基團起到阻擋錫(Sn)元素接觸濕氣的作用,且因而,包含由化學式1到化學式3表示的化合物的半導體光阻組成物具有較少的濕氣滲透且因此具有極好的儲存穩定性。
另一方面,除取代基R1到取代基R5以外,由化學式1到化學式3表示的化合物可進一步包含水解以形成Sn-O鍵的有機配體。這一有機配體在酸性或鹼性催化劑下在存在或不存在熱處理的情況下水解,且因此在有機錫化合物之間形成Sn-O-Sn鍵,且從而形成包含由化學式1到化學式3表示的化合物中的至少一種的有機錫共聚物。水解以形成Sn-O鍵的有機配體可包含本領域的一般技術人員熟知的任何有機配體。舉例來說,這一有機配體可包含羥基、羧基、烷氧基、鹵素、(甲基)丙烯酸酯基、環氧基、氨基以及類似物,但不限於此。
R1 到R5 可獨立地為取代或未取代的C1到C10烷基、取代或未取代的C3到C10環烷基、取代或未取代的C6到C10芳基或其組合,具體來說,其可獨立地為以下中的一種:甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、苯基、甲苯基、二甲苯基、苄基或其組合,且其可合乎需要地獨立地為以下中的一種:甲基、乙基、異丙基、第三丁基、苯基以及苄基。
R1 到R5 單獨地與錫(Sn)元素鍵合且因此形成Sn-R鍵,其為化合物提供針對溶劑的有機可溶性。此外,當在極紫外(UV)曝光期間R官能團從Sn-R鍵解離時,通過由化學式1到化學式3表示的化合物中的至少一種的共聚形成的有機錫共聚物產生自由基,且自由基通過額外自由基反應形成-Sn-O-Sn-鍵,且因此引發有機錫共聚物之間的縮合聚合反應且由根據實施例的組成物形成半導體光阻。
Ra 和Rb 可獨立地為氫、取代或未取代的單價C1到C10飽和脂族烴基、取代或未取代的單價C3到C10飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的單價C2到C10不飽和脂族烴基、取代或未取代的單價C6到C10芳族烴基或其組合,具體來說,其可獨立地為氫、甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯、苄基或其組合,且其可合乎需要地獨立地為甲基或乙基。
Y1 到Y5 可獨立地為單鍵、取代或未取代的二價C1到C8飽和脂族烴基、取代或未取代的二價C3到C6飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的二價C2到C8不飽和脂族烴基、取代或未取代的二價C6到C10伸芳基或其組合,具體來說,其可獨立地為單鍵、亞甲基、伸乙基、伸丙基、伸丁基、伸環丁基、伸環戊基、伸環己基、伸乙烯基、伸丙烯基、伸苯基或其組合,且其可合乎需要地獨立地為單鍵、亞甲基以及伸乙基中的一種。
Y1 到Y5 是連接二羧酸基團中的兩個羧基的連接基團,且Y1 到Y5 中包含的碳的數目決定由化學式1到化學式3表示的化合物的鏈或環的長度。因此,隨著Y1 到Y5 中包含的碳的數目更大,由化學式1到化學式3表示的化合物具有更大的分子大小。在本文中,當Y1 到Y5 中包含的碳的數目極大(例如大於約20)時,由化學式1到化學式3表示的化合物的分子中的二羧酸基團的濕氣阻擋效果可能降低,且因而濕氣穩定性(也就是包含由化學式1到化學式3表示的化合物的半導體光阻組成物的儲存穩定性特性)劣化。
化學式2中的R2 和R3 可相同,且X2 到X5 可均相同。換句話說,當化學式2中的R2 和R3 相同時,X2 到X5 均相同,由化學式2表示的化合物可具有對稱結構。
化學式3中的R4 和R5 可相同,且Y4 與Y5 可相同。換句話說,當化學式3的R4 和R5 以及Y4 和Y5 相同時,由化學式3表示的化合物可具有對稱結構。
有機金屬化合物包含由化學式1到化學式3表示的化合物當中的至少一種,例如由化學式1到化學式3表示的化合物當中的任何一種單獨的化合物或任何兩種化合物,或由化學式1到化學式3表示的所有化合物。
按100重量%的半導體光阻組成物計,半導體光阻組成物可以約1重量%到約20重量%(例如約1重量%到約18重量%,例如約1重量%到約15重量%,例如約1重量%到約13重量%,例如約1重量%到約10重量%,例如約1重量%到約8重量%,例如約1重量%到約5重量%,例如約1重量%到約3重量%)的量包含由化學式1到化學式3表示的化合物中的至少一種。當半導體光阻組成物包含在上述重量%範圍內的由化學式1到化學式3表示的化合物中的至少一種時,可改進濕氣穩定性,也就是半導體光阻組成物的儲存穩定性。
按100重量%的半導體光阻組成物計,半導體光阻組成物可以約1重量%到約20重量%(例如約1重量%到約18重量%,例如約1重量%到約15重量%,例如約1重量%到約13重量%,例如約1重量%到約10重量%,例如約1重量%到約8重量%,例如約1重量%到約5重量%,例如約1重量%到約3重量%)的量包含由化學式1表示的化合物。
按100重量%的半導體光阻組成物計,半導體光阻組成物可以約1重量%到約20重量%(例如約1重量%到約18重量%,例如約1重量%到約15重量%,例如約1重量%到約13重量%,例如約1重量%到約10重量%,例如約1重量%到約8重量%,例如約1重量%到約5重量%,例如約1重量%到約3重量%)的量包含由化學式2表示的化合物。
按100重量%的半導體光阻組成物計,半導體光阻組成物可以約1重量%到約20重量%(例如約1重量%到約18重量%,例如約1重量%到約15重量%,例如約1重量%到約13重量%,例如約1重量%到約10重量%,例如約1重量%到約8重量%,例如約1重量%到約5重量%,例如約1重量%到約3重量%)的量包含由化學式3表示的化合物。
根據實施例的半導體光阻組成物中包含的溶劑可以是有機溶劑。溶劑可以是例如芳族化合物(例如,二甲苯、甲苯等)、醇(例如,4-甲基-2-戊烯醇、4-甲基-2-丙醇、1-丁醇、甲醇、異丙醇、1-丙醇等)、醚(例如,苯甲醚、四氫呋喃等)、酯(乙酸正丁酯、丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯)、酮(例如,甲基乙基酮、2-庚酮)或其混合物,但不限於此。
在實施例中,除前述有機金屬化合物和溶劑以外,半導體光阻組成物可進一步包含樹脂。
樹脂可以是包含至少一個或多個組1中的芳族部分的酚類樹脂。 [組1]
Figure 02_image010
樹脂可具有約500到約20,000的重量平均分子量。
按半導體光阻組成物的總量計,可以約0.1重量%到約50重量%的量包含樹脂。當在所述量範圍內包含樹脂時,其可具有極好的耐蝕刻性和耐熱性。
另一方面,根據實施例的半導體光阻組成物合乎需要地由有機金屬化合物、溶劑以及樹脂構成。然而,根據以上實施例的半導體光阻組成物可視需要進一步包含添加劑。添加劑的實例可包含表面活性劑、交聯劑、流平劑或其組合。
表面活性劑可包含例如烷基苯磺酸鹽、烷基吡啶鎓鹽、聚乙二醇、季銨鹽或其組合,但不限於此。
交聯劑可以是例如三聚氰胺基交聯劑、取代的脲基交聯劑或聚合物基交聯劑,但不限於此。其可以是具有至少兩個交聯形成取代基的交聯劑,例如化合物,例如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯並胍胺、丁氧基甲基化苯並胍胺、甲氧基甲基化脲、丁氧基甲基化脲、甲氧基甲基化硫脲以及類似物。
流平劑可用於改善印刷期間的塗層平整度,且可以是市售的已知流平劑。
添加劑的使用量可取決於所要屬性而進行控制。
此外,半導體光阻組成物可進一步包含矽烷偶聯劑作為黏附增強劑,以便改善與基底的緊密接觸力(例如,以便改善半導體光阻組成物對基底的黏附性)。矽烷偶聯劑可以是例如包含碳-碳不飽和鍵的矽烷化合物,例如乙烯基三甲氧基矽烷、乙烯基三乙氧基矽烷、乙烯基三氯矽烷、乙烯基三(β-甲氧基乙氧基)矽烷;或3-甲基丙烯醯氧基丙基三甲氧基矽烷、3-丙烯醯氧基丙基三甲氧基矽烷、對苯乙烯基三甲氧基矽烷、3-甲基丙烯醯氧基丙基甲基二甲氧基矽烷、3-甲基丙烯醯氧基丙基甲基二乙氧基矽烷;三甲氧基[3-(苯基氨基)丙基]矽烷以及類似物,但不限於此。
半導體光阻組成物可形成為具有高縱橫比而沒有塌陷的圖案。因此,為了形成具有例如約5奈米到約100奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約50奈米、約5奈米到約40奈米、約5奈米到約30奈米或約5奈米到約20奈米的寬度的精細圖案,半導體光阻組成物可用於使用波長範圍為約5奈米到約150奈米(例如,約5奈米到約100奈米、約5奈米到約80奈米、約5奈米到約50奈米、約5奈米到約30奈米或約5奈米到約20奈米)的光的光阻製程。因此,根據實施例的半導體光阻組成物可用於使用約13.5奈米波長的EUV光源來實現極紫外(UV)光刻。
根據另一實施例,提供一種使用前述半導體光阻組成物來形成圖案的方法。舉例來說,所製造的圖案可以是光阻圖案。
根據實施例的形成圖案的方法包含:在基底上形成蝕刻目標層;將半導體光阻組成物塗布在所述蝕刻目標層上以形成光阻層;使所述光阻層圖案化以形成光阻圖案;以及使用所述光阻圖案作為蝕刻遮罩來蝕刻所述蝕刻目標層。
在下文中,參考圖1到圖5描述使用半導體光阻組成物來形成圖案的方法。圖1到圖5是用於解釋根據實施例的使用半導體光阻組成物來形成圖案的方法的橫截面視圖。
參考圖1,製備用於蝕刻的對象。用於蝕刻的物件可以是形成於半導體基底100上的薄層102。在下文中,用於蝕刻的對象限於薄層102。洗滌薄層102的整個表面以去除在其上殘留的雜質以及類似物。薄層102可以是例如氮化矽層、多晶矽層或氧化矽層。
隨後,將用於形成光阻底層104的抗蝕劑底層組成物旋塗在洗滌過的薄層102的表面上。然而,實施例不限於此,且可使用已知的各種塗布方法,例如噴塗、浸塗、刀口塗布、印刷方法(例如,噴墨印刷和絲網印刷)以及類似物。
可省略抗蝕劑底層的塗布製程,且在下文中,描述包含抗蝕劑底層的塗布的製程。
接著,乾燥和烘烤塗布的組成物以在薄層102上形成光阻底層104。烘烤可在約100℃到約500℃(例如約100℃到約300℃)下進行。
光阻底層104形成於基底100與光阻層106之間,且因此當從基底100與光阻層106之間的介面或層之間的硬遮罩上反射的光線散射到非預期的光阻區中時,可防止光阻線寬的不均勻性和圖案形成能力。
參考圖2,通過在光阻底層104上塗布半導體光阻組成物來形成光阻層106。通過在形成於基底100上的薄層102上塗布前述半導體光阻組成物且接著通過熱處理固化所述半導體光阻組成物來獲得光阻層106。
更具體來說,通過使用半導體光阻組成物來形成圖案可包含通過旋塗、狹縫塗布、噴墨印刷以及類似物在具有薄層102的基底100上塗布半導體光阻組成物,且接著乾燥所述半導體光阻組成物以形成光阻層106。
已詳細示出且將不會再次示出半導體光阻組成物。
隨後,具有光阻層106的基底100經受第一烘烤製程。第一烘烤製程可在約80℃到約120℃下進行。
參考圖3,可選擇性地曝光光阻層106。
舉例來說,曝光可使用具有例如EUV(極紫外;約13.5奈米的波長)、E束(電子束)以及類似物的高能量波長以及例如i線(約365奈米的波長)、KrF準分子雷射(約248奈米的波長)、ArF準分子雷射(約193奈米的波長)以及類似物的短波長的光的活化輻射。
更具體來說,根據實施例的用於曝光的光可具有在約5奈米到約150奈米範圍內的短波長和高能量波長,例如EUV(極紫外;約13.5奈米的波長)、E束(電子束)以及類似物。
通過經由交聯反應(例如有機金屬化合物之間的縮合)形成聚合物,光阻層106的曝光區106a具有與光阻層106的未曝光區106b不同的溶解度。
隨後,基底100經受第二烘烤製程。第二烘烤製程可在約90℃到約200℃的溫度下進行。光阻層106的曝光區106a因第二烘烤製程而變得不容易關於顯影液溶解。
在圖4中,使用顯影液溶解和去除光阻層的未曝光區106b以形成光阻圖案108。具體來說,通過使用例如2-庚酮以及類似物的有機溶劑來溶解和去除光阻層的未曝光區106b,以完成對應於負性圖像的光阻圖案108。
如上文所描述,在根據實施例的形成圖案的方法中使用的顯影液可以是有機溶劑。在根據實施例的形成圖案的方法中使用的有機溶劑可以是例如:酮,例如甲乙酮、丙酮、環己酮、2-庚酮以及類似物;醇,例如4-甲基-2-丙醇、1-丁醇、異丙醇、1-丙醇、甲醇以及類似物;酯,例如丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯以及類似物;芳族化合物,例如苯、二甲苯、甲苯以及類似物,或其組合。
然而,根據實施例的光阻圖案不必限於負性圖像,而是可形成為具有正性圖像。在本文中,用於形成正性圖像的顯影劑可以是氫氧化季銨組成物,例如氫氧化四乙基銨、氫氧化四丙基銨、氫氧化四丁基銨或其組合。
如上文所描述,曝光於具有高能量(例如EUV(極紫外;約13.5奈米的波長)、E束(電子束)以及類似物)的光以及具有波長(例如i線(約365奈米的波長)、KrF準分子雷射(約248奈米的波長)、ArF準分子雷射(約193奈米的波長)以及類似物)的光可提供具有約5奈米到約100奈米厚度的寬度的光阻圖案108。舉例來說,光阻圖案108可具有約5奈米到約90奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約60奈米、約10奈米到約50奈米、約10奈米到約40奈米、約10奈米到約30奈米或約10奈米到約20奈米厚度的寬度。
另一方面,光阻圖案108可具有半間距小於或等於約50奈米(例如,小於或等於約40奈米、小於或等於約30奈米或小於或等於約25奈米)且線寬粗糙度小於或等於約10奈米或小於或等於約5奈米的間距。
隨後,使用光阻圖案108作為蝕刻遮罩來蝕刻光阻底層104。通過這一蝕刻製程,形成有機層圖案112。有機層圖案112也可具有對應於光阻圖案108的寬度的寬度。
參考圖5,應用光阻圖案108作為蝕刻遮罩來蝕刻曝光薄層102。因此,薄層形成有薄層圖案114。
薄層102的蝕刻可以是例如使用蝕刻氣體的乾式蝕刻,且蝕刻氣體可以是例如CHF3 、CF4 、Cl2 、BCl3 以及其混合氣體。
在曝光製程中,通過使用光阻圖案108(所述光阻圖案108經由通過使用EUV光源進行的曝光製程形成)來形成的薄層圖案114可具有對應於光阻圖案108的寬度的寬度。舉例來說,薄層圖案114可具有約5奈米到約100奈米的寬度,所述寬度等於光阻圖案108的寬度。舉例來說,通過使用光阻圖案108(所述光阻圖案108經由通過使用EUV光源進行的曝光製程形成)來形成的薄層圖案114可具有約5奈米到約90奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約60奈米、約10奈米到約50奈米、約10奈米到約40奈米、約10奈米到約30奈米以及約10奈米到約20奈米(或具體來說小於或等於約20奈米)的寬度,類似光阻圖案108的寬度。
在下文中,將通過前述化合物的合成和包含所述前述化合物的前述半導體光阻組成物的製備的實例更詳細地描述本發明。然而,本發明在技術上不受以下實例限制。
製備有機金屬化合物
(合成實例 1 :合成由化學式 4 表示的化合物)
在50毫升2頸圓底燒瓶中,將iPrSnPh3 (3克,7.6毫莫耳)和丙二酸(0.4克,3.8毫莫耳)溶解在20毫升乙腈中,且接著加熱和回流10小時。隨後,在通過真空蒸餾完全去除後,向其中添加丙酸(2克,27毫莫耳),且接著加熱和回流24小時。通過真空去除丙酸以獲得由化學式4表示的化合物,產率為80%。
[化學式4]
Figure 02_image012
(合成實例 2 :合成由化學式 5 表示的化合物)
在50毫升2頸圓底燒瓶中,將BnSnCl3 (3克,9.5毫莫耳)和Et3 N(0.96克,9.5毫莫耳)溶解在20毫升甲苯中,且接著,在冰浴中以逐滴方式向其中緩慢添加溶解在10毫升乙腈中的丙二酸(0.5克,4.8毫莫耳)。隨後,將混合物在室溫下攪拌3小時,用過濾器去除其中產生的固體,且在通過真空蒸餾完全去除溶劑之後,向其中添加Et3 N(1.92克,19毫莫耳)和20毫升甲苯。接著,在冰浴中以逐滴方式向其中緩慢添加丙酸(1.4克,19毫莫耳),且接著在室溫下攪拌3小時。接著,用過濾器去除其中產生的固體,且通過真空蒸餾完全去除其中的溶劑以獲得由化學式5表示的化合物,產率為60%。
[化學式5]
Figure 02_image014
(合成實例 3 :合成由化學式 6 表示的化合物)
除使用丁二酸而不是丙二酸之外,根據與合成實例1相同的方法來獲得由化學式6表示的化合物,產率為82%。
[化學式6]
Figure 02_image016
合成實例 4 合成由化學式 7 表示的化合物
在50毫升2頸圓底燒瓶中,將iPrSnPh3 (3克,7.6毫莫耳)和丙二酸(0.8克,7.6毫莫耳)溶解在20毫升乙腈中,且接著加熱和回流10小時。隨後,在通過真空蒸餾完全去除溶劑後,向其中添加丙酸(2克,27毫莫耳),且接著加熱和回流24小時以獲得由化學式7表示的化合物,產率為75%。
[化學式7]
Figure 02_image018
(合成實例 5 :合成由化學式 8 表示的化合物)
除使用丁二酸而不是丙二酸之外,根據與合成實例4相同的方法來獲得由化學式8表示的化合物,產率為79%。
[化學式8]
Figure 02_image020
合成實例 6 合成由化學式 9 表示的化合物
在50毫升2頸圓底燒瓶中,將PhSnCl3 (3克,9.9毫莫耳)和Et3 N(2克,19.8毫莫耳)溶解在20毫升甲苯中,且在冰浴中以逐滴方式向其中緩慢添加溶解在10毫升乙腈中的丙二酸(1克,9.9毫莫耳)。隨後,將混合物在室溫下攪拌3小時,且在用過濾器去除其中產生的固體之後,通過真空蒸餾完全去除其中的溶劑,且再次向其中添加Et3 N(1克,9.9毫莫耳)和20毫升甲苯。接著,在冰浴中以逐滴方式向其中緩慢添加丙酸(0.73克,9.9毫莫耳),且接著在室溫下攪拌3小時。在用過濾器去除產生的固體之後,通過真空蒸餾完全去除其中的溶劑以獲得由化學式9表示的化合物,產率為65%。
[化學式9]
Figure 02_image022
合成實例 7 合成由化學式 10 表示的化合物
在50毫升2頸圓底燒瓶中,將iPrSnPh3 (3克,7.6毫莫耳)和丙二酸(1.2克,11.4毫莫耳)溶解在20毫升乙腈中,且接著加熱和回流24小時。隨後,通過真空蒸餾完全去除溶劑以獲得由化學式10表示的化合物,產率為83%。
[化學式10]
Figure 02_image024
(合成實例 8 :合成由化學式 11 表示的化合物)
除使用丁二酸而不是丙二酸之外,根據與合成實例7相同的方法來獲得由化學式11表示的化合物,產率為82%。
[化學式11]
Figure 02_image026
(比較合成實例 1 :合成由化學式 12 表示的化合物)
將新戊基三氯化錫(Neopentyltin trichloride)(10克,18.7毫莫耳)溶解在無水戊烷中,且接著冷卻到0℃。隨後,以逐滴方式向其中緩慢添加二乙胺(7.4克,101.3毫莫耳),且接著向其中添加乙醇(6.1克,101.3毫莫耳),且接著在室溫下攪拌1小時。當反應完成時,將溶液過濾、濃縮以及真空乾燥以獲得由化學式12表示的化合物,產率為60%。
[化學式12]
Figure 02_image028
實例 1 到實例 8
將在合成實例1到合成實例8中合成的由化學式4到化學式11表示的化合物以2.5重量%的濃度分別溶解在二甲苯中,且用0.1微米PTFE針筒過濾器過濾以製備根據實例1到實例8的半導體光阻組成物。
實例 9
將在合成實例1中合成的由化學式4表示的化合物與在合成實例4中合成的由化學式7表示的化合物按相同重量混合,且接著以2.5重量%的濃度溶解在二甲苯中,且用0.1微米PTFE針筒過濾器過濾以製備根據實例9的半導體光阻組成物。
實例 10
將在合成實例4中合成的由化學式7表示的化合物與在合成實例7中合成的由化學式10表示的化合物按相同重量混合,且接著以2.5重量%的濃度溶解在二甲苯中,且用0.1微米PTFE針筒過濾器過濾以製備根據實例10的半導體光阻組成物。
實例 11
將在合成實例1中合成的由化學式4表示的化合物、在合成實例4中合成的由化學式7表示的化合物與在合成實例7中合成的由化學式10表示的化合物按相同重量混合,且接著以2.5重量%的濃度溶解在二甲苯中,且用0.1微米PTFE針筒過濾器過濾以製備根據實例11的半導體光阻組成物。
使用具有4英寸直徑和天然氧化物表面的圓形矽晶圓作為用於沉積薄膜的基底,且在UV臭氧清潔系統下預處理基底10分鐘。隨後,將根據實例1到實例11的用於半導體的光阻組成物以1,500轉/分鐘分別旋塗在預處理基底上30秒,在100℃下在熱板上烘烤(施加後烘烤、後施加烘烤,post-apply baked;PAB)120秒以形成薄膜。
在塗布和烘烤之後,通過橢圓偏振技術測量膜的厚度,其為約25奈米。
比較例 1
將合成比較合成實例1中的化學式12的化合物以2重量%的濃度溶解在二甲苯中,且接著用0.1微米PTFE針筒過濾器過濾以獲得根據比較例1的化合物。
隨後,通過與實例相同的製程將根據比較例1的半導體光阻組成物塗布在基底上以形成薄膜。
在塗布和烘烤之後,通過橢圓偏振技術測量膜的厚度,其為約20奈米。
(評估)
評估 1 :評估靈敏度
通過使用EUV射線(勞倫斯伯克利國家實驗室微曝光工具(Lawrence Berkeley National Laboratory Micro Exposure Tool),Micro Exposure Tool;MET),將具有500微米直徑的50個盤墊的線性陣列照射到塗布有根據實例1到實例11以及比較例1的半導體光阻組成物中的每一種的晶圓中。調整墊的曝光時間以向每一墊施加增加的EUV劑量。
隨後,在160℃下將晶圓基底上的抗蝕劑膜在熱板上曝光120秒,且接著烘烤(曝光後烘烤,post-exposure bake;PEB)。將烘烤的膜分別在顯影液(2-庚酮)中浸漬30秒,且接著用相同顯影劑另外清潔10秒以形成負性圖像,去除未暴露於EUV光的塗布區。最後,在150℃下將曝光墊在熱板上烘烤2分鐘以完成製程。
通過使用橢偏儀來測量曝光墊的殘餘抗蝕劑厚度。測量關於每一曝光劑量的殘餘厚度且繪製為曝光劑量的函數,且取決於抗蝕劑的類型的Dg (凝膠劑量(Dose to gel),在保留初始厚度的95%時的能量)繪示於表1中。
評估 2 :評估儲存穩定性
另一方面,根據以下標準在儲存穩定性方面評估實例1到實例11以及比較例1的化合物,且結果繪示於表1中。
[儲存穩定性]
使根據實例1到實例11以及比較例1的半導體光阻組成物在室溫(0℃到30℃)下靜置特定時間,且用肉眼檢查以評估沈澱程度,且接著取決於以下儲存標準分成兩組。 - ○:可儲存大於1個月 X:可儲存小於2周
(表1)
  儲存穩定性 Dg (毫焦/平方公分)
實例1 14.96
實例2 10.94
實例3 15.53
實例4 14.96
實例5 15.53
實例6 20.46
實例7 14.96
實例8 15.53
實例9 14.96
實例10 14.96
實例11 14.96
比較例1 X 25.21
參考表1,相較於比較例1的半導體光阻組成物,實例1到實例11的半導體光阻組成物呈現極好的儲存穩定性,且此外,相較於由比較例1的半導體光阻組成物形成的圖案,由實例1到實例11的半導體光阻組成物形成的圖案呈現好得多的靈敏度。
在上文中,已描述和示出本發明的某些示範性實施例,然而,本領域的一般技術人員顯而易見的是,本發明不限於如所描述的示範性實施例,且可在不脫離本發明的精神和範圍的情況下進行各種修改和轉換。因此,修改或轉換後的示範性實施例因此可能無法單獨地從本發明的技術構想和方面來理解,且修改後的示範性實施例在本發明的申請專利範圍內。
100:半導體基底 102:薄層 104:光阻底層 106:光阻層 106a:曝光區 106b:未曝光區 108:光阻圖案 112:有機層圖案 114:薄層圖案
圖1到圖5是用於解釋根據實施例的使用半導體光阻組成物來形成圖案的方法的橫截面視圖。
Figure 109134748-A0101-11-0003-3
100:半導體基底
102:薄層
104:光阻底層

Claims (14)

  1. 一種半導體光阻組成物,包括: 有機金屬化合物,包括由化學式1到化學式3表示的化合物中的至少一種,以及溶劑: [化學式1]
    Figure 03_image001
    [化學式2]
    Figure 03_image003
    [化學式3]
    Figure 03_image004
    其中在化學式1到化學式3中, R1 到R5 獨立地為取代或未取代的C1到C20烷基、取代或未取代的C3到C20環烷基、取代或未取代的C6到C20芳基或其組合, X1 到X5 獨立地為-ORa 或-OC(=O)Rb , Ra 和Rb 獨立地為氫、取代或未取代的單價C1到C20飽和脂族烴基、取代或未取代的單價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的單價C2到C20不飽和脂族烴基、取代或未取代的單價C6到C30芳族烴基或其組合,且 Y1 到Y5 獨立地為單鍵、取代或未取代的二價C1到C20飽和脂族烴基、取代或未取代的二價C3到C20飽和脂環族烴基、包含至少一個雙鍵或三鍵的取代或未取代的二價C2到C20不飽和脂族烴基、取代或未取代的二價C6到C20芳族烴基或其組合。
  2. 如請求項1所述的半導體光阻組成物,其中 R1 到R5 獨立地為取代或未取代的C1到C10烷基、取代或未取代的C3到C10環烷基、取代或未取代的C6到C10芳基或其組合, Ra 和Rb 獨立地為氫、取代或未取代的單價C1到C10飽和脂族烴基、取代或未取代的單價C3到C10飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的單價C2到C10不飽和脂族烴基、取代或未取代的單價C6到C10芳族烴基或其組合,且 Y1 到Y5 獨立地為單鍵、取代或未取代的二價C1到C8飽和脂族烴基、取代或未取代的二價C3到C6飽和脂環族烴基、包含1個或2個雙鍵或三鍵的取代或未取代的二價C2到C8不飽和脂族烴基、取代或未取代的二價C6到C10伸芳基或其組合。
  3. 如請求項1所述的半導體光阻組成物,其中R1 到R5 獨立地為甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、苯基、甲苯基、二甲苯基、苄基或其組合, Ra 和Rb 獨立地為氫、甲基、乙基、丙基、丁基、異丙基、第三丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合,且 Y1 到Y5 獨立地為單鍵、亞甲基、伸乙基、伸丙基、伸丁基、伸環丁基、伸環戊基、伸環己基、伸乙烯基、伸丙烯基、伸苯基或其組合。
  4. 如請求項1所述的半導體光阻組成物,其中 R1 到R5 獨立地為甲基、乙基、異丙基、第三丁基、苯基以及苄基中的一種, Ra 和Rb 獨立地為甲基或乙基, Y1 到Y5 獨立地為單鍵、亞甲基以及伸乙基中的一種。
  5. 如請求項1所述的半導體光阻組成物,其中在化學式2中,R2 與R3 相同,且X2 到X5 均相同。
  6. 如請求項1所述的半導體光阻組成物,其中,在化學式3中,R4 與R5 相同,且Y4 與Y5 相同。
  7. 如請求項1所述的半導體光阻組成物,其中所述半導體光阻組成物包括有機金屬化合物,所述有機金屬化合物包含所有由化學式1到化學式3表示的所述化合物。
  8. 如請求項1所述的半導體光阻組成物,其中按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物包括1重量%到20重量%的由化學式1到化學式3表示的所述化合物中的至少一種。
  9. 如請求項8所述的半導體光阻組成物,其中按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物包括1重量%到20重量%的由化學式1表示的所述化合物。
  10. 如請求項8所述的半導體光阻組成物,其中按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物包括1重量%到20重量%的由化學式2表示的所述化合物。
  11. 如請求項8所述的半導體光阻組成物,其中按100重量%的所述半導體光阻組成物計,所述半導體光阻組成物包括1重量%到20重量%的由化學式3表示的所述化合物。
  12. 如請求項1所述的半導體光阻組成物,其中所述半導體光阻組成物進一步包括表面活性劑、交聯劑、流平劑或其組合的添加劑。
  13. 一種形成圖案的方法,包括 在基底上形成蝕刻目標層; 將根據請求項1至請求項12中任一項所述的半導體光阻組成物塗布在所述蝕刻目標層上,以形成光阻層; 使所述光阻層圖案化,以形成光阻圖案;以及 使用所述光阻圖案作為蝕刻遮罩來蝕刻所述蝕刻目標層。
  14. 如請求項13所述的形成圖案的方法,其中使用波長為5奈米到150奈米的光來形成所述光阻圖案。
TW109134748A 2019-10-15 2020-10-07 半導體光阻組成物和使用其形成圖案的方法 TWI745113B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2019-0127958 2019-10-15
KR1020190127958A KR102446362B1 (ko) 2019-10-15 2019-10-15 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
TW202116788A true TW202116788A (zh) 2021-05-01
TWI745113B TWI745113B (zh) 2021-11-01

Family

ID=75383002

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134748A TWI745113B (zh) 2019-10-15 2020-10-07 半導體光阻組成物和使用其形成圖案的方法

Country Status (5)

Country Link
US (1) US11415885B2 (zh)
JP (1) JP7028940B2 (zh)
KR (1) KR102446362B1 (zh)
CN (1) CN112666794A (zh)
TW (1) TWI745113B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11906901B2 (en) * 2021-06-07 2024-02-20 International Business Machines Corporation Alternating copolymer chain scission photoresists

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3479380A (en) 1967-03-03 1969-11-18 Tenneco Chem Dialkyltin,1,4-endoalkylene-2,3-dihydrophthalates and -1,2,3,6 - tetrahydrophthalates
JPS5241785B2 (zh) 1973-10-29 1977-10-20
JPS5936943B2 (ja) * 1977-01-12 1984-09-06 三共有機合成株式会社 安定化された含ハロゲン重合体組成物
US5061599A (en) 1986-06-11 1991-10-29 Hitachi, Ltd. Radiation sensitive materials
JPH0354245A (ja) * 1989-07-21 1991-03-08 Nitto Kasei Co Ltd 安定化された塩素化塩化ビニル樹脂組成物
US5286599A (en) 1991-09-26 1994-02-15 International Business Machines Corporation Base developable negative photoresist composition and use thereof
KR100269513B1 (ko) 1997-10-08 2000-10-16 윤덕용 신규한 아크릴레이트 또는 메타크릴레이트 유도체 및 이들의 고분자중합체를 함유하는 포토레지스트(New acrylate or metacrylate derivatives and photoresist containing its polymer)
DE60032131T2 (de) 1999-05-10 2007-10-25 Ciba Speciality Chemicals Holding Inc. Neue photoinitiatoren und deren anwendungen
GB2352718A (en) 1999-08-04 2001-02-07 Coates Brothers Plc Photoinitiators
US6346362B1 (en) 2000-06-15 2002-02-12 International Business Machines Corporation Polymers and use thereof
KR100398312B1 (ko) 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002072401A (ja) 2000-08-25 2002-03-12 Fuji Photo Film Co Ltd ハロゲン化銀写真感光材料
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
EP2336825B1 (en) 2001-02-09 2014-05-07 Dai Nippon Printing Co., Ltd. Photosensitive composition for volume hologram recording and photosensitive medium for volume hologram recording
TW591058B (en) 2001-04-09 2004-06-11 Sekisui Chemical Co Ltd Photoreactive composition
KR100450202B1 (ko) 2002-01-07 2004-09-24 삼성에스디아이 주식회사 생체물질 고정용 관능기의 패턴 형성 방법
JP4101632B2 (ja) 2002-11-01 2008-06-18 株式会社カネカ 硬化性組成物および復元性、クリープ性改善方法
EP1455230A3 (en) 2003-03-03 2004-12-01 Rohm and Haas Electronic Materials, L.L.C. Polymers and photoresists comprising same
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
JP4597037B2 (ja) 2004-11-08 2010-12-15 日東化成株式会社 塩素含有樹脂組成物
JP5351378B2 (ja) 2006-06-28 2013-11-27 日本曹達株式会社 有機無機複合体及びその製造方法
JP5028887B2 (ja) 2006-07-04 2012-09-19 ダイキン工業株式会社 フッ素系感光性組成物
EP2078046A4 (en) 2006-11-01 2015-04-22 Oregon State SOLUTION TREATED THIN FILMS AND LAMINATES, DEVICES COMPRISING SUCH FILMS AND THIN LAMINATES, AND PROCESS FOR THEIR USE AND MANUFACTURE THEREOF
JP2009301020A (ja) * 2008-05-16 2009-12-24 Sumitomo Chemical Co Ltd 化学増幅型ポジ型レジスト組成物
US8802346B2 (en) 2008-08-07 2014-08-12 Pryog, Llc Metal compositions and methods of making same
KR101400182B1 (ko) * 2009-12-31 2014-05-27 제일모직 주식회사 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
JP5708521B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
CN102503972B (zh) 2011-09-28 2015-06-17 衢州建华东旭助剂有限公司 马来酸甲基锡及其制备方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
KR102182360B1 (ko) 2012-12-19 2020-11-24 닛산 가가쿠 가부시키가이샤 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
WO2016065120A1 (en) 2014-10-23 2016-04-28 Inpria Corporation Organometallic solution based high resolution patterning compositions and corresponding methods
JP6631536B2 (ja) 2014-12-02 2020-01-15 Jsr株式会社 フォトレジスト組成物及びその製造方法並びにレジストパターン形成方法
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
JP6666572B2 (ja) 2015-03-05 2020-03-18 Jsr株式会社 感放射線性組成物及びパターン形成方法
JP6774814B2 (ja) 2015-08-20 2020-10-28 国立大学法人大阪大学 化学増幅型レジスト材料及びパターン形成方法
WO2017066319A2 (en) 2015-10-13 2017-04-20 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP2017207532A (ja) 2016-05-16 2017-11-24 東洋合成工業株式会社 レジスト組成物及びそれを用いたデバイスの製造方法
CN106279263B (zh) 2016-08-31 2018-06-05 湖北犇星化工有限责任公司 马来酸甲基锡的制备方法
KR20190082279A (ko) * 2016-11-07 2019-07-09 도요 고세이 고교 가부시키가이샤 금속 함유 오늄염 화합물, 광 붕괴성 염기 및 레지스트 조성물 및 상기 레지스트 조성물을 이용한 디바이스의 제조 방법
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN110305440B (zh) 2019-06-17 2021-07-13 金发科技股份有限公司 一种环保阻燃abs复合材料及其制备方法

Also Published As

Publication number Publication date
JP7028940B2 (ja) 2022-03-02
US20210109442A1 (en) 2021-04-15
TWI745113B (zh) 2021-11-01
JP2021063985A (ja) 2021-04-22
CN112666794A (zh) 2021-04-16
KR20210044592A (ko) 2021-04-23
US11415885B2 (en) 2022-08-16
KR102446362B1 (ko) 2022-09-21

Similar Documents

Publication Publication Date Title
TWI715129B (zh) 半導體抗蝕劑組成物及使用所述組成物形成圖案的方法及系統
TW202041513A (zh) 半導體光阻組成物及使用組成物形成圖案的方法
US11609494B2 (en) Semiconductor photoresist composition and method of forming patterns using the composition
TW202138380A (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
KR20200014043A (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI762306B (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
CN110780536A (zh) 半导体抗蚀剂组合物及使用组合物形成图案的方法及系统
KR20200014185A (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI745113B (zh) 半導體光阻組成物和使用其形成圖案的方法
KR102446361B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20200079731A (ko) 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
TWI793886B (zh) 半導體光阻組成物、製備其的方法及形成圖案的方法
KR102577299B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102577300B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102573328B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446459B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102446360B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102480432B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI795899B (zh) 半導體光阻組成物以及使用所述組成物形成圖案的方法
KR20240071798A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202402769A (zh) 半導體光阻組成物及使用所述組成物形成圖案的方法
KR20230023410A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240025957A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240040479A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20230160087A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법