TW202138380A - 半導體光阻組成物和使用所述組成物形成圖案的方法 - Google Patents

半導體光阻組成物和使用所述組成物形成圖案的方法 Download PDF

Info

Publication number
TW202138380A
TW202138380A TW110111615A TW110111615A TW202138380A TW 202138380 A TW202138380 A TW 202138380A TW 110111615 A TW110111615 A TW 110111615A TW 110111615 A TW110111615 A TW 110111615A TW 202138380 A TW202138380 A TW 202138380A
Authority
TW
Taiwan
Prior art keywords
substituted
unsubstituted
chemical formula
group
compound represented
Prior art date
Application number
TW110111615A
Other languages
English (en)
Other versions
TWI784459B (zh
Inventor
禹昌秀
姜恩美
金宰賢
金智敏
金兌鎬
南宮爛
文京守
田桓承
蔡承龍
韓承
Original Assignee
南韓商三星Sdi股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星Sdi股份有限公司 filed Critical 南韓商三星Sdi股份有限公司
Publication of TW202138380A publication Critical patent/TW202138380A/zh
Application granted granted Critical
Publication of TWI784459B publication Critical patent/TWI784459B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0041Photosensitive materials providing an etching agent upon exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2208Compounds having tin linked only to carbon, hydrogen and/or halogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2224Compounds having one or more tin-oxygen linkages

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明公開一種包含由化學式1表示的有機金屬化合物、光酸產生劑(PAG)以及溶劑的半導體光阻組成物和使用其形成圖案的方法。

Description

半導體光阻組成物和使用所述組成物形成圖案的方法
本揭露是有關於一種半導體光阻組成物和使用所述半導體光阻組成物形成圖案的方法。 [相關申請的交叉引用] 本申請案要求2020年4月02日在韓國知識產權局申請的韓國專利申請案第10-2020-0040507號的優先權和權益,所述申請案的全部內容以引用的方式併入本文中。
極紫外光(extreme ultraviolet;EUV)微影作為用於製造下一代半導體裝置的一種基本技術而受到關注。EUV微影是使用具有約13.5奈米的波長的EUV射線作為曝光光源的圖案形成技術。根據EUV微影,已知可在半導體裝置的製造期間在曝光製程中形成極精細圖案(例如,寬度小於或等於約20奈米)。
極紫外光(EUV)微影通過相容的光阻的顯影來實現,其可在小於或等於約16奈米的空間解析度下進行。目前,正在努力滿足用於下一代裝置的傳統化學放大(chemically amplified;CA)光阻的不足規格,例如解析度、感光速度以及特徵粗糙度(或也稱為線邊緣粗糙度或LER(line edge roughness;LER))。
因這些聚合物型光阻中的酸催化反應所致的固有圖像模糊限制小特徵大小中的解析度,這在電子束(electron beam;e-beam)微影中已長期為人所知。化學放大(CA)光阻針對高靈敏度設計,但由於其典型元素組成降低光阻在約13.5奈米的波長下的光吸收且因此降低其靈敏度,所以化學放大(CA)光阻在EUV曝光下可能部分地具有更多困難。
另外,由於粗糙度問題,CA光阻可能在小特徵大小方面具有困難,且在實驗上,CA光阻的線邊緣粗糙度(LER)增加,因為感光速度部分地因酸催化製程的本質而降低。因此,由於CA光阻的這些缺陷和問題,在半導體工業中需要新穎高性能光阻。
基於與鎢、鈮、鈦和/或鉭混合的鎢的過氧多元酸(peroxopolyacid)的無機光阻已報告為用於圖案化的輻射敏感材料(US5061599;H.岡本(H. Okamoto),T.岩柳(T. Iwayanagi),K.持地(K. Mochiji),H.梅崎(H. Umezaki),T.工藤(T. Kudo),應用物理學快報(Applied Physics Letters),49 5, 298-300, 1986)。
這些材料有效地圖案化用於雙層配置的大間距,如遠紫外(深UV)、X射線以及電子束源。最近,已在將陽離子金屬氧化物硫酸鉿(HfSOx)材料與過氧錯合劑一起用於通過投影EUV曝光使15奈米半間距(half-pitch;HP)成像的情況下獲得令人印象深刻的性能(US2011-0045406;J. K.斯托爾斯(J. K. Stowers),A.特萊茨基(A. Telecky),M.科奇什(M. Kocsis),B. L.克拉克(B. L. Clark),D. A.凱斯勒(D. A. Keszler),A.格倫威爾(A. Grenville),C. N.安德森(C. N. Anderson),P. P.諾羅(P. P. Naulleau),國際光學工程學會會刊(Proc. SPIE),7969, 796915, 2011)。這一系統呈現非化學放大光阻(non-CA photoresist)的高性能,且具有接近於EUV光阻的需求的可實行感光速度。然而,具有過氧錯合劑的金屬氧化物硫酸鉿材料具有幾個實際缺點。首先,這些材料塗佈在腐蝕性硫酸/過氧化氫的混合物中且具有不足的保存期穩定性。第二,作為一種複合混合物,其對於性能改進的結構改變並不容易。第三,應在四甲基銨氫氧化物(tetramethylammonium hydroxide;TMAH)溶液中以約25重量%等的極高濃度進行研發。
為了克服化學放大(CA)有機感光組成物的前述缺點,已研究了無機感光組成物。無機感光組成物主要用於負性圖案化,所述負性圖案化由於通過非化學放大機制的化學修飾而具有抵抗由顯影劑組成物去除的耐性。無機組成物含有具有比烴化合物更高的EUV吸收速率的無機元素,且因此可通過非化學放大機制確保靈敏度,且另外,對隨機效應更不敏感,且因此已知具有低線邊緣粗糙度和較少量缺陷。
近年來,由於已知含有錫的分子具有極好的極紫外線吸收,因此已進行了主動研究。對於其中的有機錫聚合物,烷基配體通過由其產生的光吸收或次級電子解離且通過氧鍵與相鄰鏈交聯,且因此實現可能不由有機顯影液去除的負性圖案化。這種有機錫聚合物呈現極大地改進的靈敏度以及維持解析度和線邊緣粗糙度,但需要另外改進圖案化特性以用於商業可用性。
一個實施例提供一種具有改進的抗蝕刻性、靈敏度、解析度以及圖案形成能力的半導體光阻組成物。
另一實施例提供一種使用半導體光阻組成物形成圖案的方法。
根據實施例的半導體光阻組成物包含由化學式1表示的有機金屬化合物、光酸產生劑(photoacid generator;PAG)以及溶劑。 [化學式1]
Figure 02_image001
在化學式1中, R為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基、乙氧基(ethoxy group)、丙氧基(propoxy group)或其組合, X、Y以及Z獨立地為-OR1 或-OC(=O)R2 , R1 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合,且 R2 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合。
光酸產生劑(PAG)可包含由化學式2、化學式3或化學式4表示的陽離子化合物。 [化學式2]
Figure 02_image002
[化學式3]
Figure 02_image003
[化學式4]
Figure 02_image004
在化學式2到化學式4中, M1 為F、Cl、Br或I, M2 為O、S、Se或Te, M3 為N、P、As或Sb,且 R3 到R11 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基或其組合。
光酸產生劑(PAG)可包含由化學式5或化學式6表示的陽離子化合物。 [化學式5]
Figure 02_image005
[化學式6]
Figure 02_image006
在化學式5和化學式6中, R3 到R7 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基或其組合。
可包含呈約99:1到約60:40的重量比的由化學式1表示的有機金屬化合物和光酸產生劑(PAG)。
可包含呈約95:5到約85:15的重量比的由化學式1表示的有機金屬化合物和光酸產生劑(PAG)。
半導體光阻組成物可進一步包含由化學式7表示的有機金屬化合物、由化學式8表示的有機金屬化合物或其組合。 [化學式7]
Figure 02_image007
[化學式8]
Figure 02_image008
在化學式7和化學式8中, Xa 和Xb 獨立地為-OR12 或-OC(=O)R13 , R12 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, R13 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, M4 和M5 獨立地為錫(Sn)、銦(In)以及銻(Sb)中的一個, L為單鍵、經取代或未經取代的二價C1到C20飽和脂肪族烴基、經取代或未經取代的二價C3到C20飽和或不飽和脂環族烴基、包含至少一個雙鍵或三鍵的經取代或未經取代的二價C2到C20不飽和脂肪族烴基、經取代或未經取代的二價C6到C20芳族烴基、-O-、-C(=O)-或其組合。
由化學式7表示的有機金屬化合物、由化學式8表示的有機金屬化合物或由化學式7表示的有機金屬化合物及由化學式8表示的有機金屬化合物的總和(例如混合物)與由化學式1表示的有機金屬化合物的重量比可為約1:1到約1:20。
R可為經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C8脂肪族不飽和有機基團、經取代或未經取代的C6到C20芳基、乙氧基、丙氧基或其組合, R1 可為經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合,且 R2 可為氫、經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合。
R可為甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基團或其組合, R1 可為甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合,且 R2 可為氫、甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合。
由化學式1表示的化合物可包含由化學式9表示的化合物、由化學式10表示的化合物、由化學式11表示的化合物、由化學式12表示的化合物或其組合。 [化學式9]
Figure 02_image009
[化學式10]
Figure 02_image010
[化學式11]
Figure 02_image011
[化學式12]
Figure 02_image012
在化學式9、化學式10、化學式11以及化學式12中, Ra 、Rb 、Rc 、Ri 、Rk 以及Rl 獨立地與R1 的定義相同,且 Rd 、Re 、Rf 、Rg 、Rh 以及Rj 獨立地與R2 的定義相同。
半導體光阻組成物可進一步包含表面活性劑、交聯劑、流平劑、有機酸、淬滅劑或其組合的添加劑。
根據實施例的形成圖案的方法包含:在基底上形成蝕刻目標層;將半導體光阻組成物塗佈在蝕刻目標層上以形成光阻層;圖案化光阻層以形成光阻圖案;以及使用光阻圖案作為蝕刻罩幕來蝕刻所述蝕刻目標層。
可使用波長為約5奈米到約150奈米的光來形成所述光阻圖案。
形成圖案的方法可進一步包含設置形成在基底與光阻層之間的抗蝕劑底層。
光阻圖案可具有約5奈米到約100奈米的寬度。
根據實施例的半導體光阻組成物具有相對改進的抗蝕刻性、靈敏度、解析度以及圖案形成能力,且因此可提供具有改進的靈敏度和高縱橫比而沒有圖案塌陷的光阻圖案。
下文中,將參考附圖詳細描述本發明的實施例。然而,在本揭露的描述中,為了闡明本揭露的要點,將省略對已知功能或組件的描述。
為了清楚地描述本揭露,省略與描述不相關的部分,且貫穿本說明書,相同附圖標號是指相同或相似組件。另外,由於為描述方便起見而任選地表示圖式中所繪示的每一組件的大小和厚度,所以本揭露不限於所述圖解。
在圖式中,為清楚起見放大了層、膜、面板、區域等的厚度。在圖式中,為清楚起見放大了層或區域等的一部分的厚度。應理解,當將例如層、膜、區域或基底的一個元件稱作在另一元件“上”時,其可直接處於另一元件上,或還可能存在插入元件。
如本文中所使用,“經取代”是指氫原子由以下置換:氘、鹵素、羥基、氰基、硝基、-NRR'(其中,R和R'獨立地為氫、經取代或未經取代的C1到C30飽和或不飽和脂肪族烴基、經取代或未經取代的C3到C30飽和或不飽和脂環族烴基,或經取代或未經取代的C6到C30芳族烴基)、-SiRR'R"(其中,R、R'以及R"獨立地為氫、經取代或未經取代的C1到C30飽和或不飽和脂肪族烴基、經取代或未經取代的C3到C30飽和或不飽和脂環族烴基,或經取代或未經取代的C6到C30芳族烴基)、C1到C30烷基、C1到C10鹵烷基、C1到C10烷基矽烷基、C3到C30環烷基、C6到C30芳基、C1到C20烷氧基或其組合。“未經取代”是指氫原子未由另一取代基置換且保留氫原子。
如本文中所使用,當未另外提供定義時,“烷基”是指直鏈或分支鏈脂肪族烴基。烷基可為不具有任何雙鍵或三鍵的“飽和烷基”。
烷基可為C1到C8烷基。舉例來說,烷基可為C1到C7烷基、C1到C6烷基或C1到C5烷基。舉例來說,C1到C5烷基可為甲基、乙基、丙基、異丙基、正丁基、異丁基、仲丁基、叔丁基或2,2-二甲基丙基。
如本文中所使用,當未另外提供定義時,“環烷基”是指單價環狀脂肪族烴基。
環烷基可為C3到C8環烷基,例如C3到C7環烷基、C3到C6環烷基、C3到C5環烷基或C3到C4環烷基。舉例來說,環烷基可為環丙基、環丁基、環戊基或環己基,但不限於此。
如本文中所使用,“脂肪族不飽和有機基團”是指包含鍵的烴基,其中分子中的碳與碳原子之間的鍵為雙鍵、三鍵或其組合。
脂肪族不飽和有機基團可為C2到C8脂肪族不飽和有機基團。舉例來說,脂肪族不飽和有機基團可為C2到C7脂肪族不飽和有機基團、C2到C6脂肪族不飽和有機基團、C2到C5脂肪族不飽和有機基團或C2到C4脂肪族不飽和有機基團。舉例來說,C2到C4脂肪族不飽和有機基團可為乙烯基、乙炔基、烯丙基、1-丙烯基、1-甲基-1-丙烯基、2-丙烯基、2-甲基-2-丙烯基、1-丙炔基、1-甲基-1丙炔基、2-丙炔基、2-甲基-2-丙炔基、1-丁烯基、2-丁烯基、3-丁烯基、1-丁炔基、2-丁炔基或3-丁炔基。
如本文中所使用,“芳基”是指其中環狀取代基中的所有原子具有p-軌道且這些p-軌道是共軛的,且可包含單環或稠環多環官能團(即,共享相鄰碳原子對的環)官能團的取代基。
如本文中所使用,除非另外定義,否則“烯基”是指包含至少一個雙鍵作為直鏈或分支鏈脂肪族烴基的脂肪族不飽和烯基。
如本文中所使用,除非另外定義,否則“炔基”是指包含至少一個三鍵作為直鏈或分支鏈脂肪族烴基的脂肪族不飽和炔基。
下文中,描述根據實施例的半導體光阻組成物。
根據本發明的實施例的半導體光阻組成物包含由化學式1表示的有機金屬化合物、光酸產生劑(PAG)以及溶劑。 [化學式1]
Figure 02_image001
在化學式1中, R為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基、乙氧基、丙氧基或其組合, X、Y以及Z獨立地為-OR1 或-OC(=O)R2 , R1 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合,且 R2 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合。
由化學式1表示的有機金屬化合物具有其中錫原子經一個R基團和三個-OR1 或-OC(=O)R2 取代的結構。
由化學式1表示的化合物為有機錫化合物,其中錫可強烈地吸收13.5奈米下的極紫外光(EUV)光且因此具有關於具有高能量的光的極好靈敏度,且化學式1的R可給出對由化學式1表示的化合物的感光性且另外可鍵結到錫並形成Sn-R鍵,且因此向有機錫化合物賦予對於有機溶劑的溶解度。
關於化學式1的R,在具有由化學式1表示的結構單元且通過有機金屬化合物的共聚合形成的有機錫共聚物暴露於極紫外線時,R官能團從Sn-R鍵解離並形成自由基,且這一自由基形成額外-Sn-O-Sn-鍵並引發有機錫共聚物之間的縮合聚合反應,且因此有助於由根據實施例的組成物形成半導體光阻。
在由化學式1表示的有機金屬化合物中,由R表示的取代基可為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基、乙氧基、丙氧基或其組合。
由化學式1表示的有機金屬化合物進一步包含三個有機配體X、Y以及Z,其各自水解以形成Sn-O鍵。X、Y以及Z在酸性催化或鹼性催化下經熱處理,或通過非熱處理水解及脫水以形成有機錫化合物之間的Sn-O-Sn鍵,由此形成包含由化學式1表示的有機金屬化合物的有機錫共聚物。
另外,X、Y以及Z確定由化學式1表示的有機金屬化合物在溶劑中的溶解度。
除了由化學式1表示的有機金屬化合物和溶劑以外,根據本發明的實施例的半導體光阻組成物另外包含光酸產生劑(PAG)。由於半導體光阻組成物另外包含光酸產生劑(PAG),因此可在不出現降低半導體光阻組成物的靈敏度和解析度特性中的任一個的問題的情況下同時改進靈敏度和解析度特性。
具體地說,在通過由化學式1表示的有機金屬化合物之間的共聚合反應形成的有機金屬共聚物施加於基底上之後,極紫外光(EUV)照射到基底上,光酸產生劑對照射的極紫外光(EUV)敏感以產生酸,有機金屬共聚物的溶解度由待溶解的酸改變,且最終,樹脂組成物在暴露部分中的顯影變得更容易。
光酸產生劑(PAG)可包含由化學式2、化學式3或化學式4表示的陽離子化合物。 [化學式2]
Figure 02_image002
[化學式3]
Figure 02_image003
[化學式4]
Figure 02_image004
在化學式2到化學式4中, M1 為F、Cl、Br或I, M2 為O、S、Se或Te, M3 為N、P、As或Sb,且 R3 到R11 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基或其組合。
更具體地說,光酸產生劑(PAG)可包含由化學式5或化學式6表示的陽離子化合物。 [化學式5]
Figure 02_image005
[化學式6]
Figure 02_image006
可包含呈以下重量比的由化學式1表示的有機金屬化合物和光酸產生劑(PAG):約99:1到約60:40,例如約98:2,例如約95:5,例如約93:7,例如約90:10,例如約87:13,例如約85:15,例如約83:17,例如約80:20,例如約77:23,例如約75:25,例如約73:27,例如約70:30,例如約67:33,例如約65: 35,例如約63:37,例如約60:40。在半導體光阻組成物中包含重量比範圍中的光酸產生劑(PAG)時,可在不出現降低靈敏度和解析度特性中的任一個的問題的情況下同時改進靈敏度和解析度特性。
根據實施例的半導體光阻組成物可進一步包含由化學式7表示的有機金屬化合物、由化學式8表示的有機金屬化合物或其組合。 [化學式7]
Figure 02_image007
[化學式8]
Figure 02_image008
在化學式7和化學式8中, Xa 和Xb 獨立地為-OR12 或-OC(=O)R13 , R12 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, R13 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, M4 和M5 獨立地為錫(Sn)、銦(In)以及銻(Sb)中的一個,且 L為單鍵、經取代或未經取代的二價C1到C20飽和脂肪族烴基、經取代或未經取代的二價C3到C20飽和或不飽和脂環族烴基、包含至少一個雙鍵或三鍵的經取代或未經取代的二價C2到C20不飽和脂肪族烴基、經取代或未經取代的二價C6到C20芳族烴基、-O-、-C(=O)-或其組合。
除了由化學式1表示的有機金屬化合物和光酸產生劑(PAG)以外,根據本發明的實施例的半導體光阻組成物同時包含由式7表示的有機金屬化合物或由式8表示的有機金屬化合物,可提供具有極好靈敏度和圖案可形成性的半導體光阻組成物。
通過適當地調整有機金屬共聚物中包含的由化學式7表示的有機金屬化合物和/或由化學式8表示的有機金屬化合物的比率,可控制由R表示的配體從共聚物解離的程度,且因此,可提供具有極好靈敏度和低線邊緣粗糙度以及極好解析度的半導體光阻,同時由在配體解離使產生的自由基控制通過氧鍵結與周圍鏈交聯的程度。也就是說,通過同時包含由化學式1表示的有機金屬化合物以及由化學式7表示的有機金屬化合物和/或由化學式8表示的有機金屬化合物,可提供具有極好靈敏度、線邊緣粗糙度以及解析度的半導體光阻。
由化學式7表示的有機金屬化合物、由化學式8表示的有機金屬化合物或其混合物的總和與由化學式1表示的有機金屬化合物的重量比可為約1:1到約1:20,例如約1:1到約1:19,例如約1:1到約1:18,例如約1:1到約1:17,例如約1:1到約1:16,例如約1:1到約1:15,例如約1:1到約1:14,例如約1:1到約1:13,例如約1:1到約1:12,例如約1:1到約1:11,例如約1:1到約1:10,例如約1:1到約1:9,例如約1:1到約1:8,例如約1:1到約1:7,例如約1:1到約1:6,例如約1:1到約1:5,例如約1:1到約1:4,例如約1:1到約1:3,例如約1:1到約1:2,但本發明不限於此。在由化學式1表示的有機金屬化合物與由化學式7表示的化合物、由化學式8表示的有機金屬化合物或其組合的重量比滿足上述範圍時,可提供具有極好靈敏度和解析度的半導體光阻組成物。
R可為例如經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C8脂肪族不飽和有機基團、經取代或未經取代的C6到C20芳基、乙氧基、丙氧基或其組合,例如甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基或其組合。
R1 可為經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合,例如甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合。
R2 可為氫、經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合,例如氫、甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合。
由化學式1表示的化合物可包含由化學式9表示的化合物、由化學式10表示的化合物、由化學式11表示的化合物、由化學式12表示的化合物或其組合。 [化學式9]
Figure 02_image009
[化學式10]
Figure 02_image010
[化學式11]
Figure 02_image011
[化學式12]
Figure 02_image012
在化學式9、化學式10、化學式11以及化學式12中, Ra 、Rb 、Rc 、Ri 、Rk 以及Rl 獨立地與R1 的定義相同,且 Rd 、Re 、Rf 、Rg 、Rh 以及Rj 獨立地與R2 的定義相同。
根據實施例的半導體光阻組成物中包含的溶劑可為有機溶劑。溶劑可為例如芳族化合物(例如,二甲苯、甲苯等)、醇(例如,4-甲基-2-戊醇、4-甲基-2-丙醇、1-丁醇、甲醇、異丙醇、1-丙醇、丙二醇單甲基醚)、醚(例如,苯甲醚、四氫呋喃)、酯(乙酸正丁酯、丙二醇單甲基醚乙酸酯、乙酸乙酯、乳酸乙酯)、酮(例如,甲基乙基酮、2-庚酮)及其混合物,但不限於此。
在實施例中,除前述有機金屬化合物和溶劑以外,半導體光阻組成物可進一步包含樹脂。
樹脂可以是包含至少一個或多個組1中的芳族部分的酚類樹脂。 [組1]
Figure 02_image013
樹脂可具有約500到約20,000的重量平均分子量。
按半導體光阻組成物的總量計,可以約0.1重量%到約50重量%的量包含樹脂。
當包含所述量範圍內的樹脂時,其可具有極好抗蝕刻性和耐熱性。
另一方面,根據實施例的半導體光阻組成物理想地包括有機金屬化合物、溶劑以及樹脂。然而,根據上述實施例的半導體光阻組成物可視需要進一步包含添加劑。添加劑的實例可包含表面活性劑、交聯劑、流平劑、有機酸、淬滅劑或其組合。
表面活性劑可包含例如烷基苯磺酸鹽、烷基吡啶鹽、聚乙二醇、季銨鹽或其組合,但不限於此。
交聯劑可為例如三聚氰胺類交聯劑、經取代的脲類交聯劑、丙烯醯基類交聯劑、環氧類交聯劑或聚合物類交聯劑,但不限於此。其可為具有至少兩個交聯形成取代基的交聯劑,例如化合物,例如甲氧基甲基化甘脲、丁氧基甲基化甘脲、甲氧基甲基化三聚氰胺、丁氧基甲基化三聚氰胺、甲氧基甲基化苯並胍胺、丁氧基甲基化苯並胍胺、丙烯酸4-羥丁基酯、丙烯酸、丙烯酸氨基甲酸酯、甲基丙烯酸丙烯醯酯、1,4-丁二醇二縮水甘油醚、縮水甘油、二縮水甘油基1,2-環己烷二羧酸酯、三甲基丙烷三縮水甘油醚、1,3-雙(縮水甘油氧基丙基)四甲基二矽氧烷、甲氧基甲基化脲、丁氧基甲基化脲或甲氧基甲基化硫脲等。
流平劑可用於改進印刷期間的塗層平整度,且可以是市售的已知流平劑。
有機酸可包含對甲苯磺酸、苯磺酸、對十二烷基苯磺酸、1,4-萘二磺酸、甲磺酸、氟化鋶鹽、丙二酸、檸檬酸、丙酸、甲基丙烯酸、乙二酸、乳酸、乙醇酸、丁二酸或其組合,但不限於此。
淬滅劑可為三對甲苯胺、甲基二苯胺、聯三苯胺、苯二胺、萘胺、二氨基萘或其組合。
添加劑的使用量可取決於所要屬性而進行控制。
另外,半導體光阻組成物可進一步包含矽烷偶聯劑作為黏附增強劑,以便改進與基底的緊密接觸力(例如,以便改進半導體光阻組成物對基底的黏附性)。矽烷偶聯劑可以是例如包含碳-碳不飽和鍵的矽烷化合物,例如乙烯基三甲氧基矽烷、乙烯基三乙氧基矽烷、乙烯基三氯矽烷、乙烯基三(β-甲氧基乙氧基)矽烷;或3-甲基丙烯醯氧基丙基三甲氧基矽烷、3-丙烯醯氧基丙基三甲氧基矽烷、對苯乙烯基三甲氧基矽烷、3-甲基丙烯醯氧基丙基甲基二甲氧基矽烷、3-甲基丙烯醯氧基丙基甲基二乙氧基矽烷;三甲氧基[3-(苯基氨基)丙基]矽烷以及類似物,但不限於此。
半導體光阻組成物可形成為具有高縱橫比而沒有塌陷的圖案。因此,為了形成具有例如約5奈米到約100奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約50奈米、約5奈米到約40奈米、約5奈米到約30奈米或約5奈米到約20奈米的寬度的精細圖案,半導體光阻組成物可用於使用波長範圍為約5奈米到約150奈米(例如,約5奈米到約100奈米、約5奈米到約80奈米、約5奈米到約50奈米、約5奈米到約30奈米或約5奈米到約20奈米)的光的光阻製程。因此,根據實施例的半導體光阻組成物可用於使用約13.5奈米波長的EUV光源來實現極紫外光微影。
根據另一實施例,提供一種使用前述半導體光阻組成物形成圖案的方法。舉例來說,所製造的圖案可以是光阻圖案。
根據實施例的形成圖案的方法包含:在基底上形成蝕刻目標層;將半導體光阻組成物塗佈在蝕刻目標層上以形成光阻層;圖案化光阻層以形成光阻圖案;以及使用光阻圖案作為蝕刻罩幕來蝕刻所述蝕刻目標層。
下文中,參考圖1到圖5描述使用半導體光阻組成物形成圖案的方法。圖1到圖5是用於解釋使用根據實施例的半導體光阻組成物形成圖案的方法的橫截面圖。
參考圖1,製備用於蝕刻的對象。用於蝕刻的對象可以是形成在半導體基底100上的薄膜102。下文中,用於蝕刻的對象限於薄膜102。洗滌薄膜102的整個表面以去除其上殘留的雜質等。薄膜102可以是例如氮化矽層、多晶矽層或氧化矽層。
隨後,將用於形成抗蝕劑底層104的抗蝕劑底層組成物旋塗在洗滌過的薄膜102的表面上。然而,實施例不限於此,且可使用已知的各種塗佈方法,例如噴塗、浸塗、刀口塗佈、印刷方法(例如,噴墨印刷和絲網印刷)以及類似方法。
可省略抗蝕劑底層的塗佈製程,且在下文中,描述包含抗蝕劑底層的塗佈的製程。
接著,塗佈的組成物經乾燥和烘烤,以在薄膜102上形成抗蝕劑底層104。烘烤可以在約100℃到約500℃,例如約100℃到約300℃下進行。
抗蝕劑底層104形成於基底100與光阻層106之間,且因此當從基底100與光阻層106之間的界面或層之間的硬罩幕上反射的光線散射到非預期的光阻區域中時,可防止光阻線寬的不均勻性和圖案形成能力。
參考圖2,通過在抗蝕劑底層104上塗佈半導體光阻組成物來形成光阻層106。通過將前述半導體光阻組成物塗佈在形成於基底100上的薄膜102上來獲得光阻層106,且接著通過熱處理固化所述光阻層106。
更具體地說,通過使用半導體光阻組成物形成圖案可包含通過旋塗、狹縫塗佈噴墨印刷等將半導體光阻組成物塗佈在具有薄膜102的基底100上,且接著乾燥所述半導體光阻組成物以形成光阻層106。
已詳細示出且將不會再次示出半導體光阻組成物。
隨後,具有光阻層106的基底100經受第一烘烤製程。第一烘烤製程可在約80℃到約120℃下進行。
參考圖3,可選擇性地曝光光阻層106。
舉例來說,曝光可使用具有例如EUV(極紫外光;約13.5奈米的波長)、E束(電子束)以及類似物的高能量波長以及例如i線(約365奈米的波長)、KrF准分子雷射(約248奈米的波長)、ArF准分子雷射(約193奈米的波長)以及類似物的短波長的光(例如比可見光譜短)的活化輻射。
更具體地說,根據實施例的用於曝光的光可具有在約5奈米到約150奈米範圍內的高能量波長和短波長(例如比可見光譜短),例如EUV(極紫外光;約13.5奈米的波長)、E束(電子束)以及類似物。
由於通過諸如有機金屬化合物之間的縮合的交聯反應來形成聚合物,光阻層106的曝光區106b具有與光阻層106的非曝光區106a不同的溶解度。
隨後,基底100經受第二烘烤製程。第二烘烤製程可在約90℃到約200℃的溫度下進行。光阻層106的曝光區106b因第二烘烤製程而變得不容易對於顯影液溶解。
在圖4中,使用顯影液溶解和去除光阻層的非曝光區106a以形成光阻圖案108。具體來說,通過使用例如2-庚酮以及類似物的有機溶劑來溶解和去除光阻層的非曝光區,以完成對應於負性圖像的光阻圖案108。
如上文所描述,在根據實施例的形成圖案的方法中使用的顯影液可以是有機溶劑。在根據實施例的形成圖案的方法中使用的有機溶劑可以是例如:酮,例如甲基乙基酮、丙酮、環己酮、2-庚酮以及類似物;醇,例如4-甲基-2-丙醇、1-丁醇、異丙醇、1-丙醇、甲醇以及類似物;酯,例如丙二醇單甲基醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯以及類似物;芳族化合物,例如苯、二甲苯、甲苯以及類似物,或其組合。
然而,根據實施例的光阻圖案不必限於負性圖像,而是可形成為具有正性圖像。在本文中,用於形成正性圖像的顯影劑可以是氫氧化季銨組成物,例如氫氧化四乙基銨、氫氧化四丙基銨、氫氧化四丁基銨或其組合。
如上文所描述,曝光於具有高能量(例如EUV(極紫外光;約13.5奈米的波長)、E束(電子束)以及類似物)的光以及具有波長(例如i線(約365奈米的波長)、KrF准分子雷射(約248奈米的波長)、ArF准分子雷射(約193奈米的波長)以及類似物)的光可提供具有約5奈米到約100奈米的寬度(例如厚度)的光阻圖案108。舉例來說,光阻圖案108可具有約5奈米到約90奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約60奈米、約10奈米到約50奈米、約10奈米到約40奈米、約10奈米到約30奈米或約10奈米到約20奈米的寬度(例如厚度)。
另一方面,光阻圖案108可具有半間距小於或等於約50奈米(例如小於或等於約40奈米、小於或等於約30奈米或小於或等於約25奈米)且線邊緣粗糙度(line edge roughness)小於或等於約10奈米或小於或等於約5奈米的間距。
隨後,使用光阻圖案108作為蝕刻罩幕來蝕刻抗蝕劑底層104。通過這一蝕刻製程,形成有機層圖案112。有機層圖案112也可具有對應於光阻圖案108的寬度的寬度。
參考圖5,將光阻圖案108應用為蝕刻罩幕來蝕刻曝光的薄膜102。因此,薄膜形成為薄膜圖案114。
薄膜102的蝕刻可以是例如使用蝕刻氣體的幹式蝕刻,且蝕刻氣體可以是例如CHF3 、CF4 、Cl2 、BCl3 以及其混合氣體。
在曝光製程中,通過使用光阻圖案108(其經由通過使用EUV光源進行的曝光製程形成)形成的薄膜圖案114可具有對應於光阻圖案108的寬度的寬度。舉例來說,薄膜圖案114可具有約5奈米到約100奈米的寬度,所述寬度等於光阻圖案108的寬度。舉例來說,通過使用光阻圖案108(其經由通過使用EUV光源進行的曝光製程形成)形成的薄膜圖案114可具有如下寬度:約5奈米到約90奈米、約5奈米到約80奈米、約5奈米到約70奈米、約5奈米到約60奈米、約10奈米到約50奈米、約10奈米到約40奈米、約10奈米到約30奈米以及約10奈米到約20奈米,或具體來說,小於或等於約20奈米,所述寬度如同光阻圖案108的寬度。
下文中,將通過前述半導體光阻組成物的製備的實例更詳細地描述本發明。然而,本發明在技術上不受以下實例限制。實例 合成實例 1
將20克(51.9毫莫耳)的Ph3 SnCl溶解於250毫升2-頸及圓底燒瓶中的70毫升的THF中,且接著在冰浴中冷卻到0℃。隨後,將1 M丁基氯化鎂(BuMgCl)THF溶液(62.3毫莫耳)以逐滴方式緩慢地添加到其中。在以逐滴方式的添加完成時,在25℃下攪拌所獲得混合物12小時,以獲得由化學式13表示的化合物,產率為85%。 [化學式13]
Figure 02_image015
合成實例 2
除了使用2 M異丙基氯化鎂(iPrMgCl)THF溶液(62.3毫莫耳)替代合成實例1中的1 M丁基氯化鎂(BuMgCl)THF溶液之外,根據與合成實例1相同的方法獲得由化學式14表示的化合物,產率為88%。 [化學式14]
Figure 02_image017
合成實例 3
除了使用1 M新戊基氯化鎂THF溶液(62.3毫莫耳)替代合成實例1中的1 M丁基氯化鎂(BuMgCl)THF溶液之外,根據與合成實例1相同的方法獲得由化學式15表示的化合物,產率為76%。 [化學式15]
Figure 02_image019
合成實例 4
將根據合成實例1的由化學式13表示的化合物(10克,24.6毫莫耳)溶解於50毫升的CH2 Cl2 中,且在-78℃下將3當量(73.7毫莫耳)的2 M HCl二乙醚溶液以逐滴方式緩慢地添加到其中持續30分鐘。隨後,在25℃下攪拌所獲得混合物12小時,且接著,通過濃縮溶劑並進行真空蒸餾來獲得由化學式16表示的化合物,產率為80%。 [化學式16]
Figure 02_image021
合成實例 5
除了使用根據合成實例2的由化學式14表示的化合物替代根據合成實例1的由化學式13表示的化合物之外,根據與合成實例4相同的方法獲得由化學式17表示的化合物,產率為75%。 [化學式17]
Figure 02_image023
合成實例 6
除了使用根據合成實例3的由化學式15表示的化合物替代根據合成實例1的由化學式13表示的化合物之外,根據與合成實例4相同的方法獲得由化學式18表示的化合物,產率為70%。 [化學式18]
Figure 02_image025
合成實例 7
在25℃下將25毫升的乙酸以逐滴方式緩慢地添加到10克(25.6毫莫耳)的根據合成實例4的由化學式16表示的化合物,且接著將其加熱並回流12小時。溫度降低到25℃,且接著,真空蒸餾乙酸以獲得由化學式19表示的化合物,產率為90%。 [化學式19]
Figure 02_image027
合成實例 8
在25℃下將25毫升的丙烯酸以逐滴方式緩慢地添加到10克(25.4毫莫耳)的根據合成實例5的由化學式17表示的化合物,且接著將其加熱並在80℃下回流6小時。溫度降低到25℃,且接著真空蒸餾丙烯酸以獲得由化學式20表示的化合物,產率為50%。 [化學式20]
Figure 02_image029
合成實例 9
在25℃下將25毫升的丙酸以逐滴方式緩慢地添加到10克(23.7毫莫耳)的根據合成實例6的由化學式18表示的化合物,且接著將其加熱並在110℃下回流12小時。溫度降低到25℃,且接著真空蒸餾丙酸以獲得由化學式21表示的化合物,產率為40%。 [化學式21]
Figure 02_image031
合成實例 10
將30毫升的無水戊烷添加到10克(35.4毫莫耳)的根據合成實例4的由化學式16表示的化合物中,且接著冷卻到0℃。將7.8克(106.3毫莫耳)的二乙胺以逐滴方式緩慢地添加到其中,且隨後將7.9克(106.3毫莫耳)的叔丁醇添加到其中,且接著在25℃下攪拌1小時。當反應完成時,將所得物過濾、濃縮以及真空乾燥以獲得由化學式22表示的化合物,產率為60%。 [化學式22]
Figure 02_image033
合成實例 11
將30毫升的無水戊烷添加到10克(37.3毫莫耳)的根據合成實例5的由化學式17表示的化合物中,且接著冷卻到0℃。將8.2克(111.9毫莫耳)的二乙胺以逐滴方式緩慢地添加到其中,且隨後,將6.7克(111.9毫莫耳)的異丙醇添加到其中,且接著在25℃下攪拌1小時。當反應完成時,將所得物過濾、濃縮以及真空乾燥以獲得由化學式23表示的化合物,產率為60%。 [化學式23]
Figure 02_image035
合成實例 12
將30毫升的無水戊烷添加到10克(18.7毫莫耳)的根據合成實例6的由化學式18表示的化合物中,且接著冷卻到0℃。將7.4克(101.3毫莫耳)的二乙胺以逐滴方式緩慢地添加到其中,且隨後,將6.1克(101.3毫莫耳)的乙醇添加到其中,且接著在25℃下攪拌1小時。當反應完成時,將所得物過濾、濃縮以及真空乾燥以獲得由化學式24表示的化合物,產率為60%。 [化學式24]
Figure 02_image037
合成實例 13
將10克(25.4毫莫耳)的由化學式14表示的化合物放入100毫升圓底燒瓶中,且在25℃下將25毫升的甲酸以逐滴方式緩慢地添加到其中,且接著加熱並在100℃下回流24小時。
隨後,溫度降低到25℃,且接著,真空蒸餾甲酸以獲得由化學式25表示的化合物,產率為90%。 [化學式25]
Figure 02_image039
實例 1 到實例 48
將合成實例7到合成實例13中獲得的由化學式19到化學式25表示的化合物中的每一個、PAG-1(聖阿普羅(San Apro),碘鎓(iodonium))或PAG-2(西格瑪-阿爾德裏奇(Sigma-Aldrich),硫鎓(sulfonium))以及乳酸(西格瑪-阿爾德裏奇)以表1到表4中展示的重量比各自按3重量%的濃度溶解於4-甲基-2-戊醇中,且利用0.1微米聚四氟乙烯(PTFE)針筒過濾器過濾以製備根據實例1到實例48的半導體光阻組成物中的每一個。
具有固有氧化物表面和4英寸直徑的碟形矽晶片用作用於薄膜塗佈的基底,且在塗佈組成物之前在UV臭氧清潔系統中處理10分鐘。在處理的基底上,根據實例1到實例48的半導體光阻組成物分別在1500轉/分下旋塗30秒,且接著在100℃下烘烤(在塗覆之後烘烤,塗覆後烘烤(post-apply bake;PAB))120秒以形成光阻薄膜。
在塗佈和烘烤之後,通過橢圓偏振技術測量膜的厚度,其為約25奈米。 [表1] [單位:重量份]
  實例 1 實例 2 實例 3 實例 4 實例 5 實例 6 實例 7 實例 8
有機金屬化合物 化學式19 99              
化學式20   99            
化學式21     99         98
化學式22       99        
化學式23         99      
化學式24           99    
化學式25             99  
PAG-1 1 1 1 1 1 1 1 1
有機酸               1
[表2] [單位:重量份]
  實例 9 實例 10 實例 11 實例 12 實例 13 實例 14 實例 15 實例 16
有機金屬化合物 化學式19 95              
化學式20   95            
化學式21     95         94
化學式22       95        
化學式23         95      
化學式24           95    
化學式25             95  
PAG-1 5 5 5 5 5 5 5 5
有機酸               1
[表3] [單位:重量份]
  實例 17 實例 18 實例 19 實例 20 實例 21 實例 22 實例 23 實例 24
有機金屬化合物 化學式19 90              
化學式20   90            
化學式21     90         89
化學式22       90        
化學式23         90      
化學式24           90    
化學式25             90  
PAG-1 10 10 10 10 10 10 10 10
有機酸               1
[表4] [單位:重量份]
  實例 25 實例 26 實例 27 實例 28 實例 29 實例 30 實例 31 實例 32
有機金屬化合物 化學式19 85              
化學式20   85            
化學式21     85         84
化學式22       85        
化學式23         85      
化學 式24           85    
化學 式25             85  
PAG-1 15 15 15 15 15 15 15 15
有機酸               1
[表5] [單位:重量份]
  實例 33 實例 34 實例 35 實例 36 實例 37 實例 38 實例 39 實例 40
有機金屬化合物 化學式19 99     95     90  
化學式20   99     95     90
化學式21     99     95    
化學式22                
化學式23                
化學式24                
化學式25                
PAG-2 1 1 1 5 5 5 10 10
有機酸                
[表6] [單位:重量份]
  實例 41 實例 42 實例 43 實例 44 實例 45 實例 46 實例 47 實例 48
有機金屬化合物 化學式19   85            
化學式20     85          
化學式21 90     85 98 94 89 84
化學式22                
化學式23                
化學式24                
化學式25                
PAG-2 10 15 15 15 1 5 10 15
有機酸         1 1 1 1
比較例 1
將合成實例7中獲得的由化學式19表示的化合物以3重量%的濃度溶解於4-甲基-2-戊醇中,且利用0.1微米聚四氟乙烯(PTFE)針筒過濾器過濾以製備根據比較例1的半導體光阻組成物。
以與實例1相同的方式,製備包含根據比較例1的半導體光阻組成物的光阻薄膜。在塗佈和烘烤之後,膜的厚度為約25奈米。比較例 2
除了使用nBuSnOOH(TCI公司)替代由化學式19表示的化合物之外,根據與比較例1相同的方法製造根據比較例2的半導體光阻組成物以及包含其的光阻薄膜。在塗佈和烘烤之後,膜的厚度為約25奈米。評估
在塗佈方法中形成在碟形矽晶片上的根據實例1到實例48、比較例1以及比較例2的膜曝光於極紫外光(EUV),以通過改變能量和焦點來形成12奈米到100奈米線/空間圖案。在曝光之後,膜在180℃下烘烤120秒,隨後浸入含有2-庚酮的皮氏(Petri)培養皿中60秒且將其取出,並用相同溶劑洗滌10秒。最終,膜在150℃下烘烤5分鐘,且接著通過掃描電子顯微法(scanning electron microscopy;SEM)獲得其圖案圖像。根據SEM圖像,表7和表8提供最高解析度、最優能量以及線邊緣粗糙度(LER)。 [表7]
  解析度(nm) 能量(mJ/cm2 LER(nm)
實例1 11 65 1.7
實例2 11 64 1.8
實例3 10 65 1.6
實例4 12 69 1.9
實例5 12 68 1.9
實例6 12 67 1.9
實例7 11 65 1.7
實例8 10 63 1.6
實例9 11 52 1.6
實例10 11 51 1.7
實例11 10 52 1.5
實例12 12 55 1.8
實例13 12 54 1.8
實例14 12 54 1.8
實例15 11 52 1.6
實例16 10 50 1.5
實例17 11 42 1.6
實例18 11 41 1.7
實例19 10 42 1.5
實例20 12 44 1.8
實例21 12 44 1.8
實例22 12 43 1.8
實例23 11 42 1.6
實例24 10 40 1.5
實例25 11 37 1.8
實例26 11 37 1.9
實例27 10 37 1.7
實例28 12 40 2.0
實例29 12 39 2.0
實例30 12 39 2.0
實例31 11 37 1.8
實例32 10 36 1.7
[表8]
  解析度(nm) 能量(mJ/cm2 LER(nm)
實例33 11 65 1.7
實例34 11 64 1.8
實例35 10 65 1.6
實例36 11 52 1.6
實例37 11 51 1.7
實例38 10 52 1.5
實例39 11 42 1.6
實例40 11 41 1.7
實例41 10 42 1.5
實例42 11 37 1.8
實例43 11 37 1.9
實例44 10 37 1.7
實例45 10 63 1.6
實例46 10 50 1.5
實例47 10 40 1.5
實例48 10 36 1.7
比較例1 14 78 2.6
比較例2 18 102 4.1
參考表7和表8,根據實例1到實例48的使用半導體光阻組成物的光阻薄膜呈現極好的解析度、靈敏度以及線邊緣粗糙度(LER)。
相反地,由不包含光酸產生劑且僅包含由化學式19表示的化合物的比較例1的半導體光阻組成物以及不包含光酸產生劑且包含nBuSnOOH(TCI公司)的比較例2的半導體光阻組成物形成的光阻薄膜均呈現比實例的光阻薄膜更高的解析度、最優能量以及線邊緣粗糙度(LER)。因此,其解析度、靈敏度以及線邊緣粗糙度(LER)與實例相比並不良好。
在上文中,已描述且說明本發明的某些實施例,然而,本領域的一般技術人員顯而易見的是,本發明不限於如所描述的實施例,且可在不脫離本發明的精神和範圍的情況下進行各種修改和轉換。因此,修改或轉換的實施例因而可能無法單獨地從本發明的技術構想和方面來理解,且修改的實施例在本發明的權利要求的範圍內。
100:基底 102:薄膜 104:抗蝕劑底層 106:光阻層 106a:非曝光區 106b:曝光區 108:光阻圖案 112:有機層圖案 114:薄膜圖案
圖1到圖5是用於解釋使用根據實施例的半導體光阻組成物形成圖案的方法的橫截面圖。
Figure 110111615-A0101-11-0002-4
100:基底
108:光阻圖案
112:有機層圖案
114:薄膜圖案

Claims (15)

  1. 一種半導體光阻組成物,包括: 由化學式1表示的有機金屬化合物、光酸產生劑以及溶劑: [化學式1]
    Figure 03_image001
    其中,在化學式1中, R為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基、乙氧基、丙氧基或其組合, X、Y以及Z獨立地為-OR1 或-OC(=O)R2 , R1 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合,且 R2 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合。
  2. 如請求項1所述的半導體光阻組成物,其中所述光酸產生劑包括由化學式2、化學式3或化學式4表示的陽離子化合物: [化學式2]
    Figure 03_image002
    [化學式3]
    Figure 03_image003
    [化學式4]
    Figure 03_image004
    其中,在化學式2到化學式4中, M1 為氟、氯、溴或碘, M2 為氧、硫、硒或碲, M3 為氮、磷、砷或銻,且 R3 到R11 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基或其組合。
  3. 如請求項1所述的半導體光阻組成物,其中所述光酸產生劑包括由化學式5或化學式6表示的陽離子化合物: [化學式5]
    Figure 03_image005
    [化學式6]
    Figure 03_image006
    其中,在化學式5和化學式6中, R3 到R7 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基或其組合。
  4. 如請求項1所述的半導體光阻組成物,其中包含呈99:1到60:40的重量比的由化學式1表示的所述有機金屬化合物和所述光酸產生劑。
  5. 根據權利要求1所述的半導體光阻組成物,其中包含呈95:5到85:15的重量比的由化學式1表示的所述有機金屬化合物和所述光酸產生劑。
  6. 如請求項1所述的半導體光阻組成物,其中所述半導體光阻組成物更包括由化學式7表示的有機金屬化合物、由化學式8表示的有機金屬化合物或其組合: [化學式7]
    Figure 03_image007
    [化學式8]
    Figure 03_image008
    其中,在化學式7和化學式8中, Xa 和Xb 獨立地為-OR12 或-OC(=O)R13 , R12 為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, R13 為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合, M4 和M5 獨立地為錫、銦以及銻中的一個,且 L為單鍵、經取代或未經取代的二價C1到C20飽和脂肪族烴基、經取代或未經取代的二價C3到C20飽和或不飽和脂環族烴基、包含至少一個雙鍵或三鍵的經取代或未經取代的二價C2到C20不飽和脂肪族烴基、經取代或未經取代的二價C6到C20芳族烴基、-O-、-C(=O)-或其組合。
  7. 如請求項6所述的半導體光阻組成物,其中由化學式7表示的所述有機金屬化合物、由化學式8表示的所述有機金屬化合物或由化學式7表示的所述有機金屬化合物及由化學式8表示的所述有機金屬化合物的總和與由化學式1表示的所述有機金屬化合物的重量比為1:1到1:20。
  8. 如請求項1所述的半導體光阻組成物,其中 R為經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C8脂肪族不飽和有機基團、經取代或未經取代的C6到C20芳基、乙氧基、丙氧基或其組合, R1 為經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合,且 R2 為氫、經取代或未經取代的C1到C8烷基、經取代或未經取代的C3到C8環烷基、經取代或未經取代的C2到C8烯基、經取代或未經取代的C2到C8炔基、經取代或未經取代的C6到C20芳基或其組合。
  9. 如請求項1所述的半導體光阻組成物,其中 R為甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基、乙氧基、丙氧基或其組合, R1 為甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合,且 R2 為氫、甲基、乙基、丙基、丁基、異丙基、叔丁基、2,2-二甲基丙基、環丙基、環丁基、環戊基、環己基、乙烯基、丙烯基、丁烯基、乙炔基、丙炔基、丁炔基、苯基、甲苯基、二甲苯基、苄基或其組合。
  10. 如請求項1所述的半導體光阻組成物,其中由化學式1表示的所述有機金屬化合物包括由化學式9表示的化合物、由化學式10表示的化合物、由化學式11表示的化合物、由化學式12表示的化合物或其組合: [化學式9]
    Figure 03_image009
    [化學式10]
    Figure 03_image010
    [化學式11]
    Figure 03_image011
    [化學式12]
    Figure 03_image012
    其中,在化學式9、化學式10、化學式11以及化學式12中, R為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、包含至少一個雙鍵或三鍵的經取代或未經取代的C2到C20脂肪族不飽和有機基團、經取代或未經取代的C6到C30芳基、乙氧基、丙氧基或其組合, Ra 、Rb 、Rc 、Ri 、Rk 以及Rl 獨立地為經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合,且 Rd 、Re 、Rf 、Rg 、Rh 以及Rj 獨立地為氫、經取代或未經取代的C1到C20烷基、經取代或未經取代的C3到C20環烷基、經取代或未經取代的C2到C20烯基、經取代或未經取代的C2到C20炔基、經取代或未經取代的C6到C30芳基或其組合。
  11. 如請求項1所述的半導體光阻組成物,更包括表面活性劑、交聯劑、流平劑、有機酸、淬滅劑或其組合的添加劑。
  12. 一種形成圖案的方法,包括: 在基底上形成蝕刻目標層; 將請求項1至請求項11中任一項所述的半導體光阻組成物塗佈在所述蝕刻目標層上以形成光阻層; 圖案化所述光阻層以形成光阻圖案;以及 使用所述光阻圖案作為蝕刻罩幕來蝕刻所述蝕刻目標層。
  13. 如請求項12所述的形成圖案的方法,其中使用波長為5奈米到150奈米的光來形成所述光阻圖案。
  14. 如請求項12所述的形成圖案的方法,更包括設置形成在所述基底與所述光阻層之間的抗蝕劑底層。
  15. 如請求項12所述的形成圖案的方法,其中所述光阻圖案具有5奈米到100奈米的寬度。
TW110111615A 2020-04-02 2021-03-30 半導體光阻組成物和使用所述組成物形成圖案的方法 TWI784459B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0040507 2020-04-02
KR1020200040507A KR102573327B1 (ko) 2020-04-02 2020-04-02 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
TW202138380A true TW202138380A (zh) 2021-10-16
TWI784459B TWI784459B (zh) 2022-11-21

Family

ID=77922729

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111615A TWI784459B (zh) 2020-04-02 2021-03-30 半導體光阻組成物和使用所述組成物形成圖案的方法

Country Status (5)

Country Link
US (1) US20210311387A1 (zh)
JP (1) JP7168715B2 (zh)
KR (1) KR102573327B1 (zh)
CN (1) CN113495429A (zh)
TW (1) TWI784459B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11609494B2 (en) * 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
KR20240018224A (ko) 2022-08-02 2024-02-13 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
JP2024089633A (ja) 2022-12-21 2024-07-03 信越化学工業株式会社 金属含有膜形成用重合体、金属含有膜形成用組成物、及びパターン形成方法
EP4394506A1 (en) * 2022-12-27 2024-07-03 Samsung Electronics Co., Ltd. Resist composition and method of forming pattern by using the same
EP4394508A1 (en) * 2022-12-27 2024-07-03 Samsung Electronics Co., Ltd. Resist composition and pattern forming method using the same
EP4398037A1 (en) 2023-01-06 2024-07-10 Shin-Etsu Chemical Co., Ltd. Compound for forming metal-containing film, composition for forming metal-containing film, and patterning process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009134088A (ja) * 2007-11-30 2009-06-18 Jsr Corp 感放射線性樹脂組成物
WO2016140057A1 (ja) * 2015-03-05 2016-09-09 Jsr株式会社 感放射線性組成物及びパターン形成方法
US10228618B2 (en) 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
WO2017169440A1 (ja) 2016-03-28 2017-10-05 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20190010561A (ko) * 2016-05-26 2019-01-30 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
JP2018116160A (ja) * 2017-01-18 2018-07-26 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20200014185A (ko) * 2018-07-31 2020-02-10 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN110780536B (zh) * 2018-07-31 2023-05-16 三星Sdi株式会社 半导体抗蚀剂组合物及使用组合物形成图案的方法及系统
US11092890B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
US11609494B2 (en) * 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR102619719B1 (ko) * 2020-05-12 2023-12-28 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240008692A (ko) * 2022-07-12 2024-01-19 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
KR102573327B1 (ko) 2023-08-30
US20210311387A1 (en) 2021-10-07
KR20210123138A (ko) 2021-10-13
JP2021162865A (ja) 2021-10-11
JP7168715B2 (ja) 2022-11-09
CN113495429A (zh) 2021-10-12
TWI784459B (zh) 2022-11-21

Similar Documents

Publication Publication Date Title
TWI784459B (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
TWI715129B (zh) 半導體抗蝕劑組成物及使用所述組成物形成圖案的方法及系統
TWI772785B (zh) 半導體光阻組成物及使用組成物形成圖案的方法
US11609494B2 (en) Semiconductor photoresist composition and method of forming patterns using the composition
TWI762306B (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
KR102577300B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202402769A (zh) 半導體光阻組成物及使用所述組成物形成圖案的方法
TWI745113B (zh) 半導體光阻組成物和使用其形成圖案的方法
KR102577299B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI795899B (zh) 半導體光阻組成物以及使用所述組成物形成圖案的方法
KR102586110B1 (ko) 반도체 포토레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
KR102480432B1 (ko) 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202419437A (zh) 半導體光阻組合物和使用組合物形成圖案的方法
KR20240040479A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240071798A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240063601A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202421638A (zh) 半導體光阻組合物和使用組合物形成圖案的方法
TW202346309A (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
TW202406917A (zh) 半導體光阻組成物及使用所述組成物形成圖案的方法
KR20240025957A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20230023410A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240038462A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240109491A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법