TW201923451A - 微影用組成物、圖案形成方法及化合物 - Google Patents

微影用組成物、圖案形成方法及化合物 Download PDF

Info

Publication number
TW201923451A
TW201923451A TW107134487A TW107134487A TW201923451A TW 201923451 A TW201923451 A TW 201923451A TW 107134487 A TW107134487 A TW 107134487A TW 107134487 A TW107134487 A TW 107134487A TW 201923451 A TW201923451 A TW 201923451A
Authority
TW
Taiwan
Prior art keywords
composition
photoresist
substituted
pattern
mass
Prior art date
Application number
TW107134487A
Other languages
English (en)
Inventor
工藤宏人
越後雅敏
佐藤隆
Original Assignee
學校法人關西大學
日商三菱瓦斯化學股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 學校法人關西大學, 日商三菱瓦斯化學股份有限公司 filed Critical 學校法人關西大學
Publication of TW201923451A publication Critical patent/TW201923451A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/029Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本發明為一種微影用組成物,其係含有以下述式(1)表示之化合物,
[LxTe(OR1)y] (1)
(上述式(1)中,L為OR1以外之配位子;R1為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1可相同或不同)。

Description

微影用組成物、圖案形成方法及化合物
本發明為關於一種微影用組成物、圖案形成方法及化合物。
於半導體裝置的製造中,藉由使用光阻材料的微影來進行微細加工,但近年來隨著大型積體電路(LSI)的高積體化與高速度化,要求著基於圖案規則(pattern rules)的更進一步微細化。又,於光阻圖案形成之際所使用的微影用的光源,已由KrF準分子雷射(248nm)短波長化至ArF準分子雷射(193nm),亦可預期電子線或極端紫外線(以下稱為「EUV」)(振動波長:13.5nm)的導入。
然而,於使用以往的高分子系光阻材料的微影中,其分子量大於1萬~10萬左右,分子量分布也寬,因此在圖案表面產生粗糙度,而使圖案尺寸的控制變得困難,故微細化是存在限度的。
因此,為了賦予解析度更高的光阻圖案,迄今為止已提出了使用含有各種低分子量成分的光阻材料。低分子量光阻材料由於分子尺寸小,故可期待賦予解析度高、且粗糙度小的光阻圖案。
作為使用低分子量光阻材料的例子,例如提案著使用低分子量的多核多元酚化合物作為主成分的鹼顯影型的負型感放射線性組成物(例如專利文獻1及專利文獻2)。又,作為使用具有高感度與高耐熱性的低分子量光阻材料的例子,亦提案著使用低分子量的環狀多元酚化合物作為主成分的鹼顯影型的負型感放射線性組成物(例如專利文獻3)。
進而,使用電子線或EUV的微影,其反應機制與一般的光微影為不同。在藉由電子線或EUV之微影之中,其係將數十nm的微細的圖案形成作為目標。如此般地,隨著光阻圖案的尺寸越小,要求著光阻材料對於曝光光源為越高感度。特別是藉由EUV之微影時,就產能(throughput)之方面而言需要實現光阻組成物的高感度化。
作為改善該等的問題之光阻材料,已提案著含有鈦、鉿及鋯中任一者之無機光阻材料(例如專利文獻4及專利文獻5)。
[先前技術文獻]
[專利文獻]
專利文獻1:日本特開2005-326838號公報
專利文獻2:日本特開2008-145539號公報
專利文獻3:日本特開2009-173623號公報
專利文獻4:日本特開2015-75500號公報
專利文獻5:日本特開2015-108781號公報
[發明所欲解決之課題]
然而,專利文獻1~3中對於感放射線性組成物的保存穩定性並未進行研究。專利文獻4中對於光阻材料的保存穩定性並未進行研究,對於該文獻中的光阻材料,亦要求著能使保存穩定性更進一步地良好,例如更進一步地穩定地得到光阻圖案。又,對於該文獻中的光阻材料,要求著感度的更為提升。關於專利文獻5,雖然進行了光阻材料的保存穩定性的評估,但僅只評估含有包含特定金屬(鉿、鋯)的錯合體之光阻材料,且關於含有包含如此般的金屬的錯合體之光阻材料,感度無法稱為充分。
因此,本發明之目的為有鑑於上述情況,目的在於提供一種具有優異的溶解性、使用作為微影用材料時可平衡性良好地滿足優異的成膜性、圖案形成性及保存穩定性的化合物、微影用組成物及圖案形成方法。

[解決課題之方法]
本發明人為了解決上述課題經重複深入研究之結果發現,具有含碲的特定構造之化合物係溶解性為優異、使用於微影用材料時可平衡性良好地滿足優異的成膜性、圖案形成性及保存穩定性,因而完成本發明。
[1].
一種微影用組成物,其係含有以下述式(1)表示之化合物,
[Lx Te(OR1 )y ] (1)
(上述式(1)中,L為OR1 以外之配位子;R1 為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1 可相同或不同)。
[2].
如[1]之微影用組成物,以上述式(1)表示之化合物中,x為1~6的整數。
[3].
如[1]或[2]之微影用組成物,以上述式(1)表示之化合物中,y為1~6的整數。
[4].
如[1]~[3]中任一項之微影用組成物,以上述式(1)表示之化合物中,R1 為取代或無取代之碳數1~6之直鏈狀或碳數3~6之分枝狀亦或環狀的烷基。
[5].
如[1]~[4]中任一項之微影用組成物,以上述式(1)表示之化合物中,L為雙齒以上之配位子。
[6].
如[1]~[5]中任一項之微影用組成物,以上述式(1)表示之化合物中,L為乙醯丙酮、2,2-二甲基-3,5-己二酮、乙二胺、二乙烯三胺及甲基丙烯酸之任一者。
[7].
如[1]~[6]中任一項之微影用組成物,其係光阻用。
[8].
如[1]~[7]中任一項之微影用組成物,其係進一步含有溶媒。
[9].
如[1]~[8]中任一項之微影用組成物,其係進一步含有酸產生劑。
[10].
如[1]~[9]中任一項之微影用組成物,其係進一步含有酸擴散控制劑。
[11].
如[1]~[10]中任一項之微影用組成物,其係進一步含有聚合起始劑。
[12].
一種圖案形成方法,其係含有下述步驟:
使用如[1]~[11]中任一項之微影用組成物,並於基板上形成光阻膜之步驟,
將前述光阻膜進行曝光之步驟,與
將前述經曝光之光阻膜進行顯影並形成圖案之步驟。
[13].
一種化合物,其係以下述式(1)表示,
[Lx Te(OR1 )y ] (1)
(上述式(1)中,L為OR1 以外之配位子;R1 為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1 可相同或不同)。

[發明的效果]
依據本發明能夠提供一種具有優異的溶解性、使用作為微影用材料時可平衡性良好地滿足優異的成膜性、圖案形成性及保存穩定性的化合物、微影用組成物及圖案形成方法。
[實施發明之最佳形態]
以下,對於本發明之實施的形態(以下稱為「本實施形態」)來進行說明。尚,本實施形態係用來說明本發明之示例,本發明並非被限定於本實施形態中。
本說明書中所謂「溶解性」係指對於安全溶媒而言易於溶解之性質。所謂「成膜性」係指形成薄膜之際,在所形成的膜中難以產生缺陷之性質。所謂「高感度性」係指為了得到圖案所需要的最小限度的能量照射量為小之性質。所謂「圖案形成性」係指形成光阻圖案之際,圖案形狀為良好之性質。所謂「保存穩定性」係指即使是長期間保存亦難以產生析出物之性質。
[化合物]
本實施形態之化合物係以下述式(1)表示之化合物(亦稱為「含碲之化合物」)。
[Lx Te(OR1 )y ] (1)
式(1)中,L為OR1 以外之配位子;R1 為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1 可相同或不同。
本實施形態之含碲之化合物係具有優異的溶解性,若使用作為微影用材料時,可平衡性良好地滿足優異的成膜性、高感度性、圖案形成性、及保存穩定性。本實施形態之含碲之化合物係含有碲原子(其係在元素中為繼氙(Xe)之後具有高的增感效果之元素),主要是起因於此,在微影(特別是使用EUV之微影)時可得到高的增感效果,其結果,高感度性及圖案形成性為優異。
作為R1 可舉出氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者。當R1 為複數個時,互相可相同或亦可不同。
作為R1 的具體例,可舉出例如甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基、十一烷基、十二烷基、二十基、環丙基、環丁基、環戊基、環己基、環庚基、環辛基、環壬基、環癸基、環十一烷基、環十二烷基、環二十基、降莰基、金剛烷基、苯基、萘基、蒽基、芘基、聯苯基、并七苯基、乙烯基、烯丙基、二十炔基。該等的基係包含異構物之概念,例如,丁基並不限於n-丁基,亦可以是異丁基、sec-丁基、或tert-丁基。又,該等的基在不超過碳數20的範圍內可具有取代基,作為取代基可舉出選自由羧基、丙烯醯基、及甲基丙烯醯基、以及含有該等的基之基所構成之群組之1種的官能基。但,當x為0、且y為4時,全部的R1 可以不是甲基。
該等之中,就高感度性、及原料取得性之觀點而言,R1 係以碳數1~6之直鏈狀或碳數3~6之分枝狀亦或環狀的烷基為較佳,以碳數1~4之直鏈狀或碳數3~4之分枝狀亦或環狀的烷基為又較佳。當具有取代基時,作為取代基係以選自由羧基、含有羧基之基、丙烯酸酯基及甲基丙烯酸酯基所構成之群組之1種以上為較佳,以選自由丙烯酸酯基及甲基丙烯酸酯基所構成之群組之1種以上為又較佳。
L為OR1 以外之配位子,可以是單齒配位子、亦可以是雙齒以上之多座配位子。當L為複數個時,互相可相同或亦可不同。
作為單齒配位子的具體例,可舉出丙烯酸酯、甲基丙烯酸酯、胺、氯、氰基、硫氰基、異硫氰基、硝基、亞硝酸基(nitrito)、三苯基膦、吡啶等。作為多座配位子的具體例,可出例如乙二胺、乙醯丙酮、二乙烯三胺、乙二胺四乙酸、環戊烯等。
就保存穩定性之觀點而言,L係以雙齒以上之多座配位子為較佳,以乙醯丙酮、2,2-二甲基-3,5-己二酮、乙二胺、二乙烯三胺及甲基丙烯酸之任一者為又較佳。
x為0~6之整數,y為0~6之整數,故x+y為1~6。就對於安全溶媒的溶解性之觀點而言,x係以1~6之整數為較佳,以1~4之整數為又較佳,以1或2為更佳。就高感度性之觀點而言,y係以1~6之整數為較佳,以1~4之整數為又較佳,以2~4之整數為更佳。
本實施形態中,以式(1)表示之化合物係藉由例如以下之方法從而得到。即,藉由在氯氣流通下,使金屬碲、或二氧化碲加熱至500℃左右,從而得到四氯化碲。接下來,藉由無觸媒下,使所得到的四氯化碲、與烷氧化鈉在冰冷卻下進行反應,從而可得到式(1)中,x為0、且y為1以上之烷氧基碲化合物。例如以下述式(TOX-1)表示之四乙氧基碲(IV)係藉由使四氯化碲與乙醇進行反應從而得到。又,即使是藉由將金屬碲使用於陽極的電分解亦可得到含碲之化合物。
Te(OEt)4 (TOX-1)
本實施形態中,OR1 以外之配位子的L係可利用各種的方法從而得到。例如,將溶解於四氫呋喃等的有機溶媒中之烷氧基碲化合物或金屬碲,與溶解於四氫呋喃等的有機溶媒中之配位子的L進行混合攪拌,並去除有機溶媒,從而可得到配位L的含碲之化合物。以下表示具體例。即,作為烷氧基碲化合物,當使用以式(TOX-1)表示之四乙氧基碲(IV)時,在具備攪拌機、冷卻管及滴定管的內容積100mL的容器中,放入溶解於20mL的四氫呋喃中之以式(TOX-1)表示之四乙氧基碲(IV)1.0g,進一步添加溶解於5mL的四氫呋喃中之乙醯丙酮0.6g,藉由迴流1小時並在減壓下去除溶劑,從而可得到以下述式(TOX-2)表示之化合物。
又,雖無特別限定,但作為本實施形態中之化合物的例子,可舉出以下之化合物。
[微影用組成物]
本實施形態之微影用組成物係含有以前述式(1)表示之化合物。本實施形態中,藉由調整微影用組成物中之成分的含有量、組成等,從而可利用於後述之光阻用等的多層製程中。本實施形態之微影用組成物係含有本實施形態的含碲之化合物,因此可平衡性良好地滿足優異的成膜性、高感度性、圖案形成性、及保存穩定性。
本實施形態之微影用組成物,即使是光阻用(光阻膜形成用)以外之用途亦可適用,但可適合使用於光阻用。
本實施形態之微影用組成物中,除了以前述式(1)表示之化合物以外,亦可含有被作為微影用材料(特別是光阻材料)使用的樹脂。本說明書中所謂「樹脂」係指去除以前述式(1)表示之含碲之化合物、後述之溶媒、酸產生劑、酸交聯劑、酸擴散控制劑、聚合起始劑、及其他成分後的膜形成成分之涵義,亦包含低分子量之化合物之概念之涵義。
作為樹脂並無特別限定,可舉出例如萘酚樹脂、二甲苯甲醛樹脂為經酚類(例如苯酚、萘酚等)改質的改質樹脂、萘甲醛樹脂為經酚類(例如苯酚、萘酚等)改質的改質樹脂、二環戊二烯樹脂、酚醛清漆樹脂、聚乙烯基酚類、聚丙烯酸、聚乙烯醇、苯乙烯-馬來酸酐樹脂、及丙烯酸、乙烯醇、或包含乙烯基酚作為單體單位的聚合物或該等的衍生物等。該等的樹脂係可單獨1種、或可組合2種以上來使用。該等之中,就更有效且確實地發揮本發明之作用效果之觀點而言,樹脂係以選自由萘酚樹脂、二甲苯甲醛樹脂的萘酚改質樹脂、及萘甲醛樹脂的酚改質樹脂所構成之群組之至少1種為較佳,以萘甲醛樹脂的酚改質樹脂為又較佳。
樹脂的含有量並無特別限定,相對於本實施形態的含碲之化合物及樹脂的總量100質量份,以1000質量份以下為較佳,又較佳為500質量份以下,更佳為200質量份以下,特佳為100質量份以下。
(溶媒)
本實施形態之微影用組成物(例如光阻用組成物)係以含有溶媒為較佳。作為溶媒並無特別限定,可舉出例如乙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、乙二醇單-n-丙基醚乙酸酯、乙二醇單-n-丁基醚乙酸酯等的乙二醇單烷基醚乙酸酯類;乙二醇單甲基醚、乙二醇單乙基醚等的乙二醇單烷基醚類;丙二醇單甲基醚乙酸酯(PGMEA)、丙二醇單乙基醚乙酸酯、丙二醇單-n-丙基醚乙酸酯、丙二醇單-n-丁基醚乙酸酯等的丙二醇單烷基醚乙酸酯類;丙二醇單甲基醚(PGME)、丙二醇單乙基醚等的丙二醇單烷基醚類;乳酸甲酯、乳酸乙酯、乳酸n-丙酯、乳酸n-丁酯、乳酸n-戊酯等的乳酸酯類;乙酸甲酯、乙酸乙酯、乙酸n-丙酯、乙酸n-丁酯、乙酸n-戊酯、乙酸n-己酯、丙酸甲酯、丙酸乙酯等的脂肪族羧酸酯類;3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、3-乙氧基丙酸乙酯、3-甲氧基-2-甲基丙酸甲酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、3-甲氧基-3-甲基丙酸丁酯、3-甲氧基-3-甲基丁酸丁酯、乙醯乙酸甲酯、丙酮酸甲酯、丙酮酸乙酯等的其他酯類;甲苯、二甲苯等的芳香族烴類;2-庚酮、3-庚酮、4-庚酮、環戊酮(CPN)、環己酮(CHN)等的酮類;N,N-二甲基甲醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基吡咯啶酮等的醯胺類;γ-己內酯等的己內酯類等,但並無特別被限定。該等的溶媒係可單獨1種、或可組合2種以上來使用。
本實施形態中所使用的溶媒係以安全溶媒為較佳,又較佳為選自PGMEA、PGME、CHN、CPN、2-庚酮、苯甲醚、乙酸丁酯、丙酸乙酯及乳酸乙酯中之至少1種,更佳為選自PGMEA、PGME及CHN中之至少一種。
本實施形態中,微影用組成物(例如光阻用組成物)中之溶媒的含有量並無特別限定,但相對於微影用組成物(例如光阻用組成物)100質量%,以固形成分的含有量為1~80質量%、且溶媒的含有量為20~99質量%為較佳,以固形成分的含有量為1~50質量%、且溶媒的含有量為50~99質量%為又較佳,以固形成分的含有量為2~40質量%、且溶媒的含有量為60~98質量%為更佳,以固形成分的含有量為2~10質量%、且溶媒的含有量為90~98質量%為特佳。
作為其他的固形成分,本實施形態之微影用組成物(例如光阻用組成物)係亦可含有選自由酸產生劑(P)、酸交聯劑(C)、酸擴散控制劑(Q)及其他的成分(E)所構成之群組之至少一種。尚,本說明書中所謂「固形成分」係指本實施形態之微影用組成物中,溶媒以外的成分之涵義。
(酸產生劑)
本實施形態之微影用組成物(例如光阻用組成物)係以含有酸產生劑(其係藉由放射線(例如EUV)的照射時能夠直接或間接地產生酸者)為較佳。因含有酸產生劑,故會有得到更進一步優異的高感度性及低邊緣粗糙度的圖案剖面之傾向。
酸產生劑係可使用周知種類,並無特別限定,包含例如國際公開第2013/024778號的段落0077~0093中所記載之化合物。該等之中,酸產生劑係以包含具有芳香環之化合物為較佳,以下述式(2-1)或(2-2)表示之化合物(酸產生劑)為又較佳。
式(2-1)中,R13 為可相同或不同,分別獨立為氫原子、直鏈狀、分枝狀亦或環狀烷基、直鏈狀、分枝狀亦或環狀烷氧基、羥基或鹵素原子;X- 為具有烷基、芳基、鹵素取代烷基亦或鹵素取代芳基之磺酸離子或鹵化物離子。
式(2-2)中,R14 為可相同或不同,分別獨立表示為氫原子、直鏈狀、分枝狀亦或環狀烷基、直鏈狀、分枝狀亦或環狀烷氧基、羥基或鹵素原子。X- 係與前述為相同。
以式(2-1)表示之化合物係以選自由三苯基鋶三氟甲烷磺酸酯、三苯基鋶九氟-n-丁烷磺酸酯、二苯基甲苯基鋶九氟-n-丁烷磺酸酯、三苯基鋶全氟-n-辛烷磺酸酯、二苯基-4-甲基苯基鋶三氟甲烷磺酸酯、二-2,4,6-三甲基苯基鋶三氟甲烷磺酸酯、二苯基4丁氧基苯基鋶三氟甲烷磺酸酯、二苯基-4-t-丁氧基苯基鋶九氟-n-丁烷磺酸酯、二苯基-4-羥基苯基鋶三氟甲烷磺酸酯、雙(4-氟苯基)-4-羥基苯基鋶三氟甲烷磺酸酯、二苯基-4-羥基苯基鋶九氟-n-丁烷磺酸酯、雙(4-羥基苯基)-苯基鋶三氟甲烷磺酸酯、三(4-甲氧基苯基)鋶三氟甲烷磺酸酯、三(4-氟苯基)鋶三氟甲烷磺酸酯、三苯基鋶p-甲苯磺酸酯、三苯基鋶苯磺酸酯、二苯基-2,4,6-三甲基苯基-p-甲苯磺酸酯、二苯基-2,4,6-三甲基苯基鋶-2-三氟甲基苯磺酸酯、二苯基-2,4,6-三甲基苯基鋶-4-三氟甲基苯磺酸酯、二苯基-2,4,6-三甲基苯基鋶-2,4-二氟苯磺酸酯、二苯基-2,4,6-三甲基苯基鋶六氟苯磺酸酯、二苯基萘基鋶三氟甲烷磺酸酯、二苯基-4-羥基苯基鋶-p-甲苯磺酸酯、三苯基鋶10-樟腦磺酸酯、二苯基-4-羥基苯基鋶10-樟腦磺酸酯及環(1,3-全氟丙烷二碸)亞胺酸酯所構成之群組之至少一種類為較佳。
以式(2-2)表示之化合物係以選自由雙(4-t-丁基苯基)碘鎓三氟甲烷磺酸酯、雙(4-t-丁基苯基)碘鎓九氟-n-丁烷磺酸酯、雙(4-t-丁基苯基)碘鎓全氟-n-辛烷磺酸酯、雙(4-t-丁基苯基)碘鎓p-甲苯磺酸酯、雙(4-t-丁基苯基)碘鎓苯磺酸酯、雙(4-t-丁基苯基)碘鎓-2-三氟甲基苯磺酸酯、雙(4-t-丁基苯基)碘鎓-4-三氟甲基苯磺酸酯、雙(4-t-丁基苯基)碘鎓-2,4-二氟苯磺酸酯、雙(4-t-丁基苯基)碘鎓六氟苯磺酸酯、雙(4-t-丁基苯基)碘鎓10-樟腦磺酸酯、二苯基碘鎓三氟甲烷磺酸酯、二苯基碘鎓九氟-n-丁烷磺酸酯、二苯基碘鎓全氟-n-辛烷磺酸酯、二苯基碘鎓p-甲苯磺酸酯、二苯基碘鎓苯磺酸酯、二苯基碘鎓10-樟腦磺酸酯、二苯基碘鎓-2-三氟甲基苯磺酸酯、二苯基碘鎓-4-三氟甲基苯磺酸酯、二苯基碘鎓-2,4-二氟苯磺酸酯、二苯基碘鎓六氟苯磺酸酯、二(4-三氟甲基苯基)碘鎓三氟甲烷磺酸酯、二(4-三氟甲基苯基)碘鎓九氟-n-丁烷磺酸酯、二(4-三氟甲基苯基)碘鎓全氟-n-辛烷磺酸酯、二(4-三氟甲基苯基)碘鎓p-甲苯磺酸酯、二(4-三氟甲基苯基)碘鎓苯磺酸酯及二(4-三氟甲基苯基)碘鎓10-樟腦磺酸酯所構成之群組之至少一種類為較佳。
式(2-1)或(2-2)的X- 係以具有芳基亦或鹵素取代芳基之磺酸離子的酸產生劑為更佳,以具有芳基之磺酸離子的酸產生劑為特佳,以選自由二苯基三甲基苯基鋶p-甲苯磺酸酯、三苯基鋶p-甲苯磺酸酯、三苯基鋶三氟甲烷磺酸酯、及三苯基鋶九氟甲烷磺酸酯所所構成之群組之1種為特佳。
本實施形態之微影用組成物中之酸產生劑的含有量,以固形成分的總質量的0.001~49質量%為較佳,以1~40質量%為又較佳,以3~30質量%為更佳,以10~25質量%為特佳。藉由含有量在上述範圍內,從而會有得到更進一步高感度性及低邊緣粗糙度的圖案剖面之傾向。
(酸交聯劑)
本實施形態之微影用組成物係以含有酸交聯劑(其係在由酸產生劑所產生的酸之狀態下用來使後述之化合物及/或樹脂於分子內或分子間進行交聯者)為較佳。作為酸交聯劑係包含例如含有能夠使樹脂進行交聯的1種以上的交聯性基之化合物(含有交聯性基之化合物)。
做為含有交聯性基之化合物係可使用周知的種類,並無特別限定,可舉出例如國際公開第2013/024778號的段落0096~0123所記載之化合物。該等的含有交聯性基之化合物係可單獨1種、或可組合2種以上來使用。
本實施形態之微影用組成物中之酸交聯劑的含有量,以固形成分的總質量的0.5~49質量%為較佳,以0.5~40質量%為又較佳,以1~30質量%為更佳,以2~20質量%為特佳。藉由含有量為0.5質量%以上時,可使光阻膜對於鹼顯影液的溶解性之抑制效果提升,從而會有使殘膜率更進一步降低、或可更進一步抑制圖案的膨潤或彎曲的產生之傾向。另一方面,藉由含有量為50質量%以下時,會有可更進一步抑制作為光阻的耐熱性的降低之傾向。
(酸擴散控制劑)
就控制酸(其係藉由放射線照射而由酸產生劑所產生之酸)在光阻膜中之擴散,並阻止在未曝光區域中的不佳的化學反應之觀點而言,本實施形態之微影用組成物係以含有酸擴散控制劑為較佳。本實施形態之微影用組成物係藉由含有酸擴散控制劑,從而會有微影用組成物(特別是光阻組成物)的儲存穩定性更進一步提升之傾向。又,可使解析度更進一步提升之同時,可進一步抑制因放射線照射前的放置時間、放射線照射後的放置時間的變動所造成的光阻圖案的線寬變化,故會有製程穩定性變得進一步優異之傾向。
酸擴散控制劑係包含例如含氮原子之鹼性化合物、鹼性鋶化合物、鹼性碘鎓化合物等的放射線分解性鹼性化合物。更詳細而言,作為放射線分解性鹼性化合物,可舉出國際公開2013/024778號的段落0128~0141所記載之化合物。該等的放射線分解性鹼性化合物係可單獨1種、或可組合2種以上來使用。
本實施形態之微影用組成物中之酸擴散控制劑的含有量,以固形成分的總質量的0.001~49質量%為較佳,以0.01~10質量%為又較佳,以0.01~5質量%為更佳,以0.01~3質量%為特佳。藉由含有量為上述範圍內,會有可進一步抑制解析度的降低、圖案形狀、尺寸逼真度等的劣化之傾向。又,即使是從電子線照射開始至放射線照射後加熱為止的放置時間變長,圖案上層部的形狀亦不會劣化。又,藉由含有量為10質量%以下時,會有可更進一步防止感度、未曝光部分的顯影性等的降低之傾向。又,藉由使用如此般的酸擴散控制劑,從而可更進一步提升微影用組成物(特別是光阻組成物)的儲存穩定性,又,解析度為更進一步提升之同時,可更進一步抑制因放射線照射前的放置時間、放射線照射後的放置時間的變動所造成的光阻圖案的線寬變化,故製程穩定性變得更進一步優異。
(其他的成分)
本實施形態之微影用組成物,在不損及本發明之作用效果的範圍內,亦可含有其他的成分(以下亦稱為「任意成分」)。作為其他的成分,可舉出溶解促進劑、溶解控制劑、聚合起始劑、增感劑、界面活性劑、及有機羧酸或磷的含氧酸亦或其衍生物等,更詳細而言,可舉出國際公開2013/024778號的段落0144~0150所記載者。本說明書中所謂「其他的成分」係指除使用作為微影用材料的上述之化合物及樹脂、酸產生劑、酸交聯劑、及酸擴散控制劑以外之成分之涵義。
本實施形態之微影用組成物中可含有的聚合起始劑,只要是能藉由曝光來使選自以前述式(1)表示之含碲之化合物、樹脂之1種以上之成分開始聚合反應者即可並無限定,可含有周知的聚合起始劑。作為起始劑的例子並無限定,可舉出光自由基聚合起始劑、光陽離子聚合起始劑、光陰離子聚合起始劑,就反應性之觀點而言,以光自由基聚合起始劑為較佳。又,含有前述聚合起始劑之組成物,以可得到負型光阻圖案之組成物為較佳。
作為光自由基聚合起始劑的例並無限定,可舉出烷基苯酮系、醯基氧化膦系、氧基苯基乙酸酯系,就反應性之觀點而言,以烷基苯酮系為較佳,就容易取得性之觀點而言,以1-羥基環己基-苯基酮(BASF公司製品名Irgacure 184)、2,2-二甲氧基-2-苯基苯乙酮(BASF公司製品名:Irgacure 651)、2-羥基-2-甲基-1-苯基丙酮(BASF公司製品名:Irgacure 1173)為較佳。
[各成分的調配比例]
本實施形態之微影用組成物(例如光阻用組成物)中,使用作為微影用基材(例如光阻基材)之化合物及/或樹脂的含有量並無特別限定,但以固形成分的總質量(包含光阻基材、酸產生劑(P)、酸交聯劑(C)、酸擴散控制劑(Q)、及其他的成分(E)等的任意所使用成分的固形成分的總質量的1~99質量%為較佳,又較佳為2~90質量%,更佳為5~80質量%,特佳為10~70質量%。藉由含有量為上述範圍內時,從而可使解析度更進一步提升,故會有線邊緣粗糙度(LER)更進一步變小之傾向。
本實施形態之微影用組成物(例如光阻用組成物)中,微影用材料(即,上述之含碲之化合物及樹脂,以下亦稱為成分(M))、酸產生劑(P)、酸交聯劑(C)、酸擴散控制劑(Q)、及其他的成分(任意成分)(E)的含有量(成分(M)/酸產生劑(P)/酸交聯劑(C)/酸擴散控制劑(Q)/任意成分(E)),以固形物基準的質量%計,較佳為1~99/0.001~49/0~49/0.001~49/0~99,又較佳為2~90/1~ 40/0~10/0.01~10/0~90,更佳為5~80/3~30/0~5/0.01~5/0~ 80,特佳為10~70/10~25/0~3/0.01~3/0~80。各成分的調配比例係以其總量成為100質量%之方式,可從各範圍內來進行選擇。若成為前述調配時,會有感度、解析度、顯影性等的性能為優異之傾向。
本實施形態之光阻組成物,一般於使用時會將各成分溶解於溶媒中製成均勻溶液,之後,因應所需利用例如孔徑0.2μm左右的過濾器等來進行過濾從而來進行調製。
[微影用組成物(例如光阻組成物)的物性等]
本實施形態之光阻組成物,可藉由旋轉塗佈來形成非晶質膜。又,可適用於通常的半導體製造製程中。
將本實施形態之微影用組成物(例如光阻組成物)旋轉塗佈來形成非晶質膜時,該非晶質膜在23℃下對於顯影液的溶解速度較佳為10Å/sec以上。若該溶解速度為10Å/sec以上時,易溶於顯影液中、且進一步適合於微影(例如光阻)。又,若具有10Å/sec以上的溶解速度時,亦有解析度為提升之情形。此係推測,因為以前述式(1)表示之化合物及/或樹脂的微觀表面部位為溶解,因而降低了LER,但本發明並不受此推測任何的限定。又,具有缺陷之降低效果。前述溶解速度係藉由在23℃下使非晶質膜浸漬於顯影液中指定時間,以目視、橢圓測厚儀或QCM法等的周知方法,來測定其浸漬前後的膜厚而進行決定。
將本實施形態之微影用組成物(例如光阻組成物)旋轉塗佈來形成非晶質膜時,該非晶質膜之藉由KrF準分子雷射、極端紫外線、電子線或X線等的放射線來進行曝光的部分在23℃下對於顯影液的溶解速度係以5Å/sec以下為較佳,以0.05~5Å/sec為又較佳,以0.0005~5Å/sec為更佳。若該溶解速度為5Å/sec以下時,係不溶於顯影液中、可製成微影用組成物(例如光阻)。又,若具有0.0005Å/sec以上的溶解速度時,亦有解析度為提升之情形。此係推測,因為以前述式(1)表示之化合物及/或樹脂的曝光前後的溶解性的變化,而使溶解於顯影液中之未曝光部分、與不溶解於顯影液中之曝光部分的界面的對比度變大,但本發明並不受此推測任何的限定。又,具有LER的降低、缺陷之降低效果。
[圖案形成方法]
本實施形態之圖案形成方法(光阻圖案的形成方法)係具備下述步驟:使用本實施形態之微影用組成物(光阻組成物)並於基板上形成光阻膜之步驟,將所形成的光阻膜進行曝光之步驟,與將經曝光之光阻膜進行顯影並形成圖案(光阻圖案)之步驟。本實施形態之光阻圖案,亦能形成作為多層製程中之上層光阻。
作為光阻圖案的形成方法並無特別限定,可舉出例如以下之方法。首先,藉由旋轉塗佈、流延塗佈、輥塗佈等的塗佈方法,將前述本實施形態之光阻組成物塗佈至以往周知的基板上從而形成光阻膜(形成步驟)。所謂以往周知的基板並無特別限定,可舉出例如電子零件用的基板、或在此者上形成有指定的配線圖案者等。更具體而言,可舉出矽晶圓、銅、鉻、鐵、鋁等的金屬製的基板、或玻璃基板等。作為配線圖案的材料,可舉出例如銅、鋁、鎳、金等。又,可以因應所需在前述基板上設置無機系及/或有機系的膜。作為無機系的膜,可舉出無機抗反射膜(無機BARC)。作為有機系的膜,可舉出有機抗反射膜(有機BARC)。亦可進行藉由六亞甲基二矽氮烷等之表面處理。
接下來,因應所需對已塗佈的基板進行加熱。加熱條件係依據光阻組成物的調配組成等而有所改變,以20~250℃為較佳,又較佳為20~150℃。藉由進行加熱,會有使光阻對於基板的密著性為提升之情形,故為較佳。接下來,藉由選自由可見光線、紫外線、準分子雷射、電子線、極端紫外線(EUV)、X線、及離子束所構成之群組之任一種的放射線,從而將光阻膜進行曝光成為所期望的圖案(曝光步驟)。曝光條件等係可因應微影用組成物(光阻用組成物)的調配組成等來做適宜選擇。本實施形態中,為了穩定地形成曝光中高精度的微細圖案,故以於放射線照射後進行加熱者為較佳。加熱條件係依據微影用組成物(光阻用組成物)的調配組成等而有所改變,但以20~250℃為較佳,又較佳為20~150℃。
接下來,藉由利用顯影液對經曝光的光阻膜來進行顯影,從而形成指定的光阻圖案(顯影步驟)。作為前述顯影液係可使用酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑、醚系溶劑等的極性溶劑、烴系溶劑或鹼水溶液。
作為酮系溶劑,可舉出例如1-辛酮、2-辛酮、1-壬酮、2-壬酮、丙酮、4-庚酮、1-己酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基乙基酮、甲基異丁基酮、乙醯丙酮、丙酮基丙酮、紫羅蘭酮、二丙酮基醇、乙醯基甲醇、苯乙酮、甲基萘酮、異佛酮、碳酸伸丙酯等。
作為酯系溶劑,可舉出例如乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單丁基醚乙酸酯、二乙二醇單乙基醚乙酸酯、乙基-3-乙氧基丙酸酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等。
作為醇系溶劑,可舉出例如甲醇、乙醇、n-丙醇、異丙醇(2-丙醇)、n-丁醇、sec-丁醇、tert-丁醇、異丁醇、n-己醇、4-甲基-2-戊醇、n-庚醇、n-辛醇、n-癸醇等的醇、或乙二醇、二乙二醇、三乙二醇等的二醇系溶劑、或乙二醇單甲基醚、丙二醇單甲基醚、乙二醇單乙基醚、丙二醇單乙基醚、二乙二醇單甲基醚、三乙二醇單乙基醚、甲氧基甲基丁醇等的二醇醚系溶劑等。
作為醚系溶劑,例如前述二醇醚系溶劑之外,可舉出二噁烷、四氫呋喃等。
作為醯胺系溶劑係可使用例如N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺、六甲基磷酸三醯胺、1,3-二甲基-2-咪唑啉酮等。
作為烴系溶劑,可舉出例如甲苯、二甲苯等的芳香族烴系溶劑、戊烷、己烷、辛烷、癸烷等的脂肪族烴系溶劑。
前述溶劑係可混合多種、亦可在具有性能的範圍內,與前述以外的溶劑或水混合來使用。但,為了更進一步充分發揮本發明之效果,故以顯影液整體計的含水率為未滿70質量%,以未滿50質量%為較佳,以未滿30質量%為又較佳,以未滿10質量%為更佳,以實質上不含有水分為特佳。即,對於顯影液之有機溶劑的含有量係相對於顯影液的總量,例如為30質量%以上100質量%以下,以50質量%以上100質量%以下為較佳,以70質量%以上100質量%以下為又較佳,以90質量%以上100質量%以下為更佳,以95質量%以上100質量%以下為特佳。
作為鹼水溶液,可舉出例如單-、二-亦或三烷基胺類、單-、二-亦或三烷醇胺類、雜環式胺類、四甲基氫氧化銨(TMAH)、膽鹼等的鹼性化合物。
特別是,若顯影液為含有選自酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑之至少1種類的溶劑的顯影液時,可改善光阻圖案的解析度或粗糙度等的光阻性能,故為較佳。
顯影液的蒸氣壓,在20℃下,以5kPa以下為較佳,以3kPa以下為更佳,以2kPa以下為特佳。藉由將顯影液的蒸氣壓設為5kPa以下,從而可抑制顯影液的基板上亦或顯影杯內的蒸發,而使晶圓面內的溫度均勻性為提升,故結果晶圓面內的尺寸均勻性為優化。
作為具有5kPa以下的蒸氣壓的具體的例子,可舉出1-辛酮、2-辛酮、1-壬酮、2-壬酮、4-庚酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基異丁基酮等的酮系溶劑、乙酸丁酯、乙酸戊酯、丙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單丁基醚乙酸酯、二乙二醇單乙基醚乙酸酯、乙基-3-乙氧基丙酸酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等的酯系溶劑、n-丙醇、異丙醇、n-丁醇、sec-丁醇、tert-丁醇、異丁醇、n-己醇、4-甲基-2-戊醇、n-庚醇、n-辛醇、n-癸醇等的醇系溶劑、乙二醇、二乙二醇、三乙二醇等的二醇系溶劑、或乙二醇單甲基醚、丙二醇單甲基醚、乙二醇單乙基醚、丙二醇單乙基醚、二乙二醇單甲基醚、三乙二醇單乙基醚、甲氧基甲基丁醇等的二醇醚系溶劑、四氫呋喃等的醚系溶劑、N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺的醯胺系溶劑、甲苯、二甲苯等的芳香族烴系溶劑、辛烷、癸烷等的脂肪族烴系溶劑。
作為具有特佳範圍的2kPa以下的蒸氣壓的具體的例子,可舉出1-辛酮、2-辛酮、1-壬酮、2-壬酮、4-庚酮、2-己酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮等的酮系溶劑、乙酸丁酯、乙酸戊酯、丙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單丁基醚乙酸酯、二乙二醇單乙基醚乙酸酯、乙基-3-乙氧基丙酸酯、3-甲氧基丁基乙酸酯、3-甲基-3-甲氧基丁基乙酸酯、乳酸乙酯、乳酸丁酯、乳酸丙酯等的酯系溶劑、n-丁醇、sec-丁醇、tert-丁醇、異丁醇、n-己醇、4-甲基-2-戊醇、n-庚醇、n-辛醇、n-癸醇等的醇系溶劑、乙二醇、二乙二醇、三乙二醇等的二醇系溶劑、或乙二醇單甲基醚、丙二醇單甲基醚、乙二醇單乙基醚、丙二醇單乙基醚、二乙二醇單甲基醚、三乙二醇單乙基醚、甲氧基甲基丁醇等的二醇醚系溶劑、N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺、N,N-二甲基甲醯胺的醯胺系溶劑、二甲苯等的芳香族烴系溶劑、辛烷、癸烷等的脂肪族烴系溶劑。
顯影液中係可因應所需適當量添加界面活性劑。作為界面活性劑並無特別限定,例如可使用離子性或非離子性的氟系及/或聚矽氧系界面活性劑等。作為該等的氟及/或聚矽氧系界面活性劑,可舉出例如日本特開昭62-36663號公報、日本特開昭61-226746號公報、日本特開昭61-226745號公報、日本特開昭62-170950號公報、日本特開昭63-34540號公報、日本特開平7-230165號公報、日本特開平8-62834號公報、日本特開平9-54432號公報、日本特開平9-5988號公報、美國專利第5405720號說明書、同5360692號說明書、同5529881號說明書、同5296330號說明書、同5436098號說明書、同5576143號說明書、同5294511號說明書、同5824451號說明書所記載的界面活性劑,較佳為非離子性的界面活性劑。作為非離子性的界面活性劑並無特別限定,以使用氟系界面活性劑或聚矽氧系界面活性劑為更佳。
界面活性劑的使用量係相對於顯影液的總量例如為0.001~5質量%,較佳為0.005~2質量%,更佳為0.01~0.5質量%。
作為顯影方法,係可適用例如將基板在盛滿顯影液的槽中浸漬一定時間之方法(浸漬法)、將顯影液藉由表面張力在基板表面隆起,並靜止一定時間從而進行顯影之方法(混攪法)、將顯影液噴霧至基板表面之方法(噴霧法)、在以一定速度進行旋轉的基板上,利用一定速度下使顯影液塗出噴嘴一邊掃描一邊持續塗出顯影液之方法(動態點膠法)等。進行圖案的顯影之時間並無特別限制,較佳為10秒~90秒。
又,於進行顯影之步驟後,亦可實施一邊取代成其他溶媒,一邊停止進行顯影之步驟。
於顯影後係以包含使用含有有機溶劑的淋洗液來進行洗淨之步驟為較佳。
作為於顯影後的淋洗步驟中使用的淋洗液,只要是能溶解藉由交聯從而硬化的光阻圖案即可,並無特別限制,可使用包含通常的有機溶劑的溶液或水。作為前述淋洗液係以使用選自含有烴系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑及醚系溶劑之至少1種類的有機溶劑的淋洗液為較佳。又較佳為於顯影後,進行使用含有選自由酮系溶劑、酯系溶劑、醇系溶劑、及醯胺系溶劑所構成之群組之至少1種類的有機溶劑的淋洗液來做洗淨之步驟。進一步又較佳為於顯影後,進行使用含有醇系溶劑或酯系溶劑的淋洗液來做洗淨之步驟。進一步又較佳為於顯影後,進行使用含有一元醇的淋洗液來做洗淨之步驟。特佳為於顯影後,進行使用含有碳數5以上的一元醇的淋洗液來做洗淨之步驟。對進行圖案的淋洗的時間並無特別限制,但較佳為10秒~90秒。
於此,作為於顯影後的淋洗步驟中所使用的一元醇,可舉出直鏈狀、分枝狀、環狀的一元醇,具體而言係可使用1-丁醇、2-丁醇、3-甲基-1-丁醇、tert-丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、環戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇、4-辛醇等,作為特佳的碳數5以上的一元醇係可使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。
前述各成分係可混合多種、亦可與前述以外的有機溶劑混合來使用。
淋洗液中之含水率係以10質量%以下為較佳,又較佳為5質量%以下,特佳為3質量%以下。藉由將含水率設為10質量%以下,從而可得到更良好的顯影特性。
顯影後所使用的淋洗液的蒸氣壓係在20℃下以0.05kPa以上、5kPa以下為較佳,以0.1kPa以上、5kPa以下為更佳,以0.12kPa以上、3kPa以下為最佳。藉由將淋洗液的蒸氣壓設成為0.05kPa以上、5kPa以下,從而使晶圓面內的溫度均勻性更提升,進一步可抑制起因於淋洗液的浸透的膨潤,故晶圓面內的尺寸均勻性為更優化。
淋洗液中係亦可適當量添加界面活性劑來使用。
淋洗步驟中係使用包含前述之有機溶劑的淋洗液,對進行了顯影的晶圓來做洗淨處理。洗淨處理的方法並無特別限定,可適用例如在以一定速度下旋轉的基板上持續塗出淋洗液之方法(旋轉塗佈法)、將基板在盛滿淋洗液的槽中浸漬一定時間之方法(浸漬法)、將淋洗液噴霧至基板表面之方法(噴霧法)等,其中,以利用旋轉塗佈方法來進行洗淨處理,於洗淨後以2000rpm~4000rpm的轉數使基板旋轉,並從基板上去除淋洗液為較佳。
形成光阻圖案後,藉由蝕刻從而可得到圖案配線基板。蝕刻的方法係可藉由使用等離子氣體的乾式蝕刻及藉由鹼溶液、氯化銅(II)溶液、氯化鐵(II)溶液等之濕式蝕刻等周知方法來進行。
於形成光阻圖案後,亦可進行鍍敷。作為前述鍍敷法,例如有銅鍍敷、焊料鍍敷、鎳鍍敷、金鍍敷等。
蝕刻後的殘留光阻圖案係可利用有機溶劑來進行剝離。作為前述有機溶劑,可舉出PGMEA(丙二醇單甲基醚乙酸酯)、PGME(丙二醇單甲基醚)、EL(乳酸乙酯)等。作為前述剝離方法,可舉出例如浸漬方法、噴霧方式等。又,形成有光阻圖案的配線基板係可以是多層配線基板、亦可以具有小徑穿通孔。
本實施形態中所得到的配線基板,亦可藉由於光阻圖案形成後,在真空中蒸鍍金屬,之後利用溶液來溶解光阻圖案之方法(即,掀離(Lift-Off)法)而予以形成。

[實施例]
以下,依據實施例進一步具體地說明本發明。但,本發明並非被限定於該等的實施例中。以下為表示實施例中之化合物的測定方法及光阻性能等的評估方法。
[測定方法]
(1)化合物的構造
化合物的構造係使用Bruker公司製品「Advance600II spectrometer」,依據以下之條件,進行1 H-NMR測定並確認。
周波數:400MHz
溶媒:CDCl3
內部標準:TMS
測定溫度:23℃
[評估方法]
(1)溶解性評估(化合物的安全溶媒溶解度試驗評估)
依據以下之評估基準,評估在室溫(23℃)下對於丙二醇單甲基醚乙酸酯(PGMEA)的溶解性。將化合物精確秤量至試驗管中,以成為指定的濃度來加入PGMEA,利用超音波洗淨機施加30分鐘超音波,並藉由目視觀察之後的液體之狀態。表中,實施例1~4的溶解性評估係相當於化合物A單獨的溶解性評估,比較例1的溶解性評估係相當於CR-1單獨的溶解性評估。
A:溶解量為5.0質量%以上
B:溶解量為3.0質量%以上未滿5.0質量%
C:溶解量為未滿3.0質量%
(2)光阻組成物的保存穩定性及成膜性評估
以23℃、50%RH下將光阻組成物靜置3天,並利用目視觀察析出的有無,從而依據以下之評估基準來評估保存穩定性。
A:呈均勻溶液、且無析出
C:發現有析出
將調製不久後呈均勻狀態的光阻組成物旋轉塗佈至潔淨的矽晶圓上,進一步在110℃的烘箱中進行曝光前預烘烤(PB),從而製作厚度40nm的光阻膜。依據以下之評估基準評估所製作的光阻膜的外觀。當評估為A時,表示成膜性為優異。
A:在所形成的膜中未發現大的缺陷
C:在所形成的膜中發現大的缺陷
(3)光阻組成物的反應性及圖案形成性評估(方法A)
將調製不久後呈均勻狀態的光阻組成物旋轉塗佈至潔淨的矽晶圓上,進一步在110℃的烘箱中進行曝光前預烘烤(PB),從而製作厚度60nm的光阻膜。使用電子線描繪裝置(Elionix(股)公司製品「ELS-7500」),對於所製作的光阻膜照射設定成50nm、40nm及30nm間隔的線和間隙為1:1的電子線。將照射後的光阻膜,以分別指定的溫度下加熱90秒鐘,並在含有四甲基氫氧化銨(TMAH)2.38質量%的鹼顯影液中浸漬60秒鐘進行顯影。之後,利用超純水將光阻膜洗淨30秒鐘、並進行乾燥,從而製成正型的光阻圖案。藉由掃描式電子顯微鏡(SEM)(Hitachi High-Technologies (股)製品「S-4800」),觀察所製作的光阻圖案的線和間隙,來評估光阻組成物的由電子線照射產生的反應性及圖案形成性。
基於用來得到圖案所需要的每單位面積的最小能量(即,感度),並依據以下之評估基準來評估反應性。
A:以未滿50μC/cm2 可得到圖案
C:以50μC/cm2 以上可得到圖案
藉由SEM來觀察所製作的圖案的形狀,並依據以下之評估基準來評估圖案形成性。
A:得到矩形的圖案
B:得到大致矩形的圖案
C:得到非矩形的圖案
(4)光阻組成物的反應性及圖案形成性評估(方法B)
除了使用乙酸n-丁酯,來替代前述之光阻組成物的反應性及圖案形成性評估(方法A)中的含有四甲基氫氧化銨(TMAH)2.38質量%的鹼顯影液以外,採用相同的方法來評估圖案形成性。
(合成例1-1)CR-1的合成
準備具有戴氏冷凝器、溫度計及攪拌槳,且能夠排出底部的內容積10L的四頸燒瓶。在氮氣流中,將1,5-二甲基萘1.09kg(7mol、三菱瓦斯化學(股)製)、40質量%福馬林水溶液2.1kg(作為甲醛為28mol、三菱瓦斯化學(股)製)及98質量%硫酸(關東化學(股)製)0.97mL裝入至該四頸燒瓶中,在常壓下,以100℃一邊迴流一邊使其反應7小時。之後,作為稀釋溶媒將乙基苯(和光純藥工業(股)製試劑特級)1.8kg加入至反應液中,靜置後去除下層的水相。進一步藉由進行中和及水洗,並在減壓下餾除乙基苯及未反應的1,5-二甲基萘,從而得到淡褐色固體的二甲基萘甲醛樹脂1.25kg。
接下來,準備具有戴氏冷凝器、溫度計及攪拌槳的內容積0.5L的四頸燒瓶。在氮氣流下,將如上述般之方式所得到的二甲基萘甲醛樹脂100g(0.51mol)與對甲苯磺酸0.05g裝入至該四頸燒瓶中,使其升溫至190℃並加熱2小時後,進行攪拌。之後,進一步加入1-萘酚52.0g(0.36mol),進一步使其升溫至220℃並反應2小時。溶劑稀釋後,藉由進行中和及水洗,並在減壓下去除溶劑,從而得到黑褐色固體的改質樹脂(CR-1)126.1g。尚,將所得到的改質樹脂依據1 H-NMR的測定結果來進行確認。改質樹脂的分子量為Mn:885、Mw:2220、Mw/Mn:4.17。
(合成例1-2)CR-1-BOC的合成
在具備有攪拌機、冷卻管及滴定管的內容積200mL的容器中,將前述所得到之化合物(CR-1)10g與二-t-丁基二碳酸酯(Aldrich公司製)5.5g(25mmol)添加至丙酮100mL中,加入碳酸鉀(Aldrich公司製)3.45g(25mmol),並以20℃下將內容物攪拌6小時來進行反應,從而得到反應液。接下來,將反應液進行濃縮並加入純水100g至濃縮液中使反應生成物析出,冷卻至室溫後,進行過濾從而分離固形物。
將所得到的固形物進行水洗並使其進行減壓乾燥,從而得到黑色固體的改質樹脂(CR-1-BOC)4g。
(合成例2)TOX-2的合成
在具備有攪拌機、冷卻管、及滴定管的內容積100mL的容器中,放入溶解於20mL的四氫呋喃中之四乙氧基碲(IV)(Alfa Aesar(股)製品、純度85%)1.0g(2.8mmol),進一步加入溶解於5mL的四氫呋喃中之乙醯丙酮0.6g(6.0mmol)。使其迴流1小時後,藉由將溶媒進行減壓餾除,從而得到以下之式(TOX-2)表示之化合物0.6g。
由反應前後的NMR的化學位移,可確認為得到以式(TOX-2)表示之化合物。
(合成例3)TOX-3的合成
在具備有攪拌機、冷卻管、及滴定管的內容積100mL的容器中,放入溶解於20mL的四氫呋喃中之四乙氧基碲(IV)(Alfa Aesar(股)製品、純度85%)1.0g(2.8mmol),進一步放入溶解於5mL的四氫呋喃中之2,2-二甲基-3,5-己二酮0.8g(5.6mmol)。使其迴流1小時後,藉由將溶媒進行減壓餾除,從而得到以下之式(TOX-3)表示之化合物0.7g。
由反應前後的NMR的化學位移,可確認為得到以式(TOX-3)表示之化合物。
(合成例4)TOX-4的合成
在具備有攪拌機、冷卻管、及滴定管的內容積100mL的容器中,放入溶解於20mL的四氫呋喃中之四乙氧基碲(IV)(Alfa Aesar(股)製品、純度85%)1.0g(2.8mmol),進一步加入甲基丙烯酸0.5g(5.8mmol)。使其迴流1小時後,藉由將溶媒進行減壓餾除,從而得到以下之式(TOX-4)表示之化合物0.5g。
由反應前後的NMR的化學位移,可確認為得到以式(TOX-4)表示之化合物。
[實施例1~6]
將四乙氧基碲(IV)(Alfa Aesar(股)製品、純度85%)、及合成例2~4所合成之化合物、與合成例1-1所合成的萘酚改質樹脂(CR-1)、酸產生劑(Midori Kagaku(股)製品、三苯基鋶三氟甲烷磺酸酯)、酸交聯劑(Sanwa chemical(股)製品「Nikalac MX270」)、酸擴散控制劑(東京化成工業(股)製品、三辛胺)、及溶媒(東京化成工業(股)製品、丙二醇單甲基醚乙酸酯),以下述表1(表中的數值係表示質量份)表示之調配量,來調製實施例1~6及比較例1之光阻組成物。對於所調製的各光阻組成物進行上述(1)~(3)的評估。但,對於上述(1)之評估,係進行構成實施例1~6的組成物之化合物A及CR-1的溶解性、構成比較例1的組成物之CR-1的溶解性的評估。將評估結果表示於表4中。
由表1可明確得知般,確認實施例1~6中使用之化合物係具有與CR-1為同等優異的對於安全溶媒的溶解性。
關於成膜性,確認實施例1~6的光阻組成物係可形成與比較例1的光阻組成物為同等優異的薄膜。
藉由照射設定成50nm間隔的線和間隙為1:1的電子線,實施例1~6為可得到良好的負型光阻圖案。由與比較例1之對比亦可明確得知般,實施例1所得到的光阻組成物係反應性及圖案形成性皆為優異。
[實施例7~12]
將四乙氧基碲(IV)(Alfa Aesar(股)製品、純度85%)、及合成例2~4所合成之化合物、與合成例1-2所合成的萘酚改質樹脂(CR-1-BOC)、酸產生劑(Midori Kagaku(股)製品、三苯基鋶三氟甲烷磺酸酯)、酸擴散控制劑(東京化成工業(股)製品、三辛胺)、及溶媒(東京化成工業(股)製品、丙二醇單甲基醚乙酸酯),以下述表2(表中的數值係表示質量份)表示之調配量,來調製實施例7~12及比較例2的光阻組成物。對於所調製的各光阻組成物進行上述(1)~(4)的評估。但,對於上述(1)之評估,係進行構成實施例7~12的組成物之化合物A及CR-1-BOC的溶解性、構成比較例2的組成物之CR-1-BOC的溶解性的評估。將評估結果表示於表5中。
由表5可明確得知般,確認實施例7~12中使用之化合物係具有與CR-1-BOC為同等優異的對於安全溶媒的溶解性。
關於成膜性,確認實施例5~8的光阻組成物係可形成與比較例2的光阻組成物為同等優異的薄膜。
藉由照射設定成50nm間隔的線和間隙為1:1的電子線,實施例7~10為可得到良好的正型光阻圖案(方法A),實施例7~12為可得到良好的負型光阻圖案(方法B)。由與比較例2之對比亦可明確得知般,實施例7~12所得到的光阻組成物係反應性及圖案形成性皆為優異。
由以上之結果可確認到下述內容:本實施形態之化合物對於安全溶媒的溶解性高、且含有該化合物之光阻組成物係保存穩定性、成膜性、高感度性、及圖案形成性為優異,特別是關於高感度性及圖案形成性,相較於比較例1、及比較例2的組成物亦為優異。
尚,只要滿足本發明之要件,實施例所記載之化合物以外之化合物亦能顯示出相同效果。
本申請案係基於2017年9月29日提出申請的日本專利申請(特願2017-189340號),參考其內容並予以援用。

Claims (13)

  1. 一種微影用組成物,其係含有以下述式(1)表示之化合物, [Lx Te(OR1 )y ] (1) (上述式(1)中,L為OR1 以外之配位子;R1 為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1 可相同或不同)。
  2. 如請求項1之微影用組成物,以上述式(1)表示之化合物中,x為1~6的整數。
  3. 如請求項1或2之微影用組成物,以上述式(1)表示之化合物中,y為1~6的整數。
  4. 如請求項1或2之微影用組成物,以上述式(1)表示之化合物中,R1 為取代或無取代之碳數1~6之直鏈狀或碳數3~6之分枝狀亦或環狀的烷基。
  5. 如請求項1或2之微影用組成物,以上述式(1)表示之化合物中,L為雙齒以上之配位子。
  6. 如請求項1或2之微影用組成物,以上述式(1)表示之化合物中,L為乙醯丙酮、2,2-二甲基-3,5-己二酮、乙二胺、二乙烯三胺及甲基丙烯酸之任一者。
  7. 如請求項1或2之微影用組成物,其係光阻用。
  8. 如請求項1或2之微影用組成物,其係進一步含有溶媒。
  9. 如請求項1或2之微影用組成物,其係進一步含有酸產生劑。
  10. 如請求項1或2之微影用組成物,其係進一步含有酸擴散控制劑。
  11. 如請求項1或2之微影用組成物,其係進一步含有聚合起始劑。
  12. 一種圖案形成方法,其係含有下述步驟: 使用如請求項1~11中任一項之微影用組成物,並於基板上形成光阻膜之步驟, 將前述光阻膜進行曝光之步驟,與 將前述經曝光之光阻膜進行顯影並形成圖案之步驟。
  13. 一種化合物,其係以下述式(1)表示, [Lx Te(OR1 )y ] (1) (上述式(1)中,L為OR1 以外之配位子;R1 為氫原子,取代或無取代之碳數1~20之直鏈狀或碳數3~20之分枝狀亦或環狀的烷基,取代或無取代之碳數6~20的芳基,及取代或無取代之碳數2~20的烯基之任一者;x為0~6之整數;y為0~6之整數;x與y之合計為1~6;當x為2以上時,複數個L可相同或不同;當y為2以上時,複數個R1 可相同或不同)。
TW107134487A 2017-09-29 2018-09-28 微影用組成物、圖案形成方法及化合物 TW201923451A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-189340 2017-09-29
JP2017189340 2017-09-29

Publications (1)

Publication Number Publication Date
TW201923451A true TW201923451A (zh) 2019-06-16

Family

ID=65902990

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107134487A TW201923451A (zh) 2017-09-29 2018-09-28 微影用組成物、圖案形成方法及化合物

Country Status (7)

Country Link
US (1) US20200257195A1 (zh)
EP (1) EP3690547A4 (zh)
JP (1) JP7297256B2 (zh)
KR (1) KR20200054998A (zh)
CN (1) CN111164511A (zh)
TW (1) TW201923451A (zh)
WO (1) WO2019066000A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741763B (zh) * 2019-08-28 2021-10-01 台灣積體電路製造股份有限公司 光阻劑及其使用方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI733069B (zh) * 2017-12-31 2021-07-11 美商羅門哈斯電子材料有限公司 單體、聚合物及包含其的微影組合物
WO2019208762A1 (ja) * 2018-04-27 2019-10-31 三菱瓦斯化学株式会社 レジスト下層膜形成用組成物、リソグラフィー用下層膜、及びパターン形成方法
CN112041705A (zh) * 2018-04-27 2020-12-04 三菱瓦斯化学株式会社 光学部件形成组合物和其固化物
WO2021029224A1 (ja) * 2019-08-13 2021-02-18 Jsr株式会社 レジストパターン形成方法及び上層膜形成用組成物
KR102571754B1 (ko) 2021-01-04 2023-08-29 한국화학연구원 금속 칼코겐 화합물을 포함하는 포토레지스트 조성물 및 이를 이용한 패턴 형성 방법
TW202348694A (zh) * 2022-05-02 2023-12-16 學校法人關西大學 含有碲之聚合物及化合物

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61226745A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
JPS61226746A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
JPS62123444A (ja) 1985-08-07 1987-06-04 Japan Synthetic Rubber Co Ltd ポジ型感放射線性樹脂組成物
JPH0616174B2 (ja) 1985-08-12 1994-03-02 三菱化成株式会社 ナフトキノンジアジド系化合物及び該化合物を含有するポジ型フオトレジスト組成物
JPH083630B2 (ja) 1986-01-23 1996-01-17 富士写真フイルム株式会社 感光性組成物
JPS6334540A (ja) 1986-07-30 1988-02-15 Mitsubishi Chem Ind Ltd ポジ型フオトレジスト組成物
JP2717602B2 (ja) 1990-01-16 1998-02-18 富士写真フイルム株式会社 感光性組成物
US5296330A (en) 1991-08-30 1994-03-22 Ciba-Geigy Corp. Positive photoresists containing quinone diazide photosensitizer, alkali-soluble resin and tetra(hydroxyphenyl) alkane additive
US5576143A (en) 1991-12-03 1996-11-19 Fuji Photo Film Co., Ltd. Light-sensitive composition
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3112229B2 (ja) 1993-06-30 2000-11-27 東京応化工業株式会社 ポジ型ホトレジスト組成物
JP3224115B2 (ja) 1994-03-17 2001-10-29 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
EP0691575B1 (en) 1994-07-04 2002-03-20 Fuji Photo Film Co., Ltd. Positive photosensitive composition
JPH0862834A (ja) 1994-08-22 1996-03-08 Mitsubishi Chem Corp フォトレジスト組成物
JPH095988A (ja) 1995-06-21 1997-01-10 Mitsubishi Chem Corp 感放射線性塗布組成物
JP3562599B2 (ja) 1995-08-18 2004-09-08 大日本インキ化学工業株式会社 フォトレジスト組成物
US5942376A (en) * 1997-08-14 1999-08-24 Symetrix Corporation Shelf-stable liquid metal arylketone alcoholate solutions and use thereof in photoinitiated patterning of thin films
JP2005128049A (ja) * 2003-10-21 2005-05-19 Jsr Corp 感放射線性樹脂組成物
EP1739485B1 (en) 2004-04-15 2016-08-31 Mitsubishi Gas Chemical Company, Inc. Resist composition
JP4858136B2 (ja) 2006-12-06 2012-01-18 三菱瓦斯化学株式会社 感放射線性レジスト組成物
JP5446118B2 (ja) 2007-04-23 2014-03-19 三菱瓦斯化学株式会社 感放射線性組成物
CN106957217B (zh) 2011-08-12 2020-07-24 三菱瓦斯化学株式会社 用于抗蚀剂组合物的多元酚化合物
JP6119544B2 (ja) 2013-10-04 2017-04-26 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP6196897B2 (ja) 2013-12-05 2017-09-13 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
KR101943347B1 (ko) * 2014-09-02 2019-01-29 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 수지 조성물, 감활성광선성 또는 감방사선성막, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
KR102599929B1 (ko) * 2015-08-24 2023-11-09 더 스쿨 코포레이션 칸사이 유니버시티 리소그래피용 재료 및 그 제조방법, 리소그래피용 조성물, 패턴 형성방법, 그리고, 화합물, 수지, 및 이들의 정제방법
US10120277B2 (en) 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
KR20170098173A (ko) 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JP2017189340A (ja) 2016-04-13 2017-10-19 ローランドディー.ジー.株式会社 人工歯作製装置
KR20190095307A (ko) * 2016-12-21 2019-08-14 도요 고세이 고교 가부시키가이샤 감광성 화합물, 상기 감광성 화합물을 함유하는 광산 발생제 및 레지스트 조성물, 및 상기 레지스트 조성물을 이용한 디바이스의 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741763B (zh) * 2019-08-28 2021-10-01 台灣積體電路製造股份有限公司 光阻劑及其使用方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands

Also Published As

Publication number Publication date
EP3690547A1 (en) 2020-08-05
JP7297256B2 (ja) 2023-06-26
KR20200054998A (ko) 2020-05-20
JPWO2019066000A1 (ja) 2020-11-26
CN111164511A (zh) 2020-05-15
EP3690547A4 (en) 2020-12-16
US20200257195A1 (en) 2020-08-13
WO2019066000A1 (ja) 2019-04-04

Similar Documents

Publication Publication Date Title
TW201923451A (zh) 微影用組成物、圖案形成方法及化合物
TWI752001B (zh) 化合物、樹脂、組成物、阻劑圖型之形成方法、及電路圖型之形成方法
JP7110979B2 (ja) 化合物、樹脂、組成物並びにレジストパターン形成方法及び回路パターン形成方法
CN107533290B (zh) 抗蚀基材、抗蚀剂组合物及抗蚀图案形成方法
WO2018016614A1 (ja) 化合物、樹脂、組成物及びパターン形成方法
JP6861950B2 (ja) 新規化合物及びその製造方法
TW201817751A (zh) 樹脂的製造方法以及感光化射線性或感放射線性組成物的製造方法
WO2018016648A1 (ja) 化合物、樹脂、組成物及びパターン形成方法
JP2022130463A (ja) 化合物、樹脂、組成物、並びにレジストパターン形成方法及び回路パターン形成方法
JPWO2020040161A1 (ja) 化合物、及びそれを含む組成物、並びに、レジストパターンの形成方法及び絶縁膜の形成方法
TWI694317B (zh) 清洗液、圖案形成方法及電子元件的製造方法
TW201727397A (zh) 圖案形成方法及電子器件的製造方法
WO2018016634A1 (ja) 化合物、樹脂及び組成物、並びにレジストパターン形成方法及び回路パターン形成方法
CN104508557B (zh) 图案形成方法、光化射线敏感或放射线敏感树脂组合物、抗蚀剂膜和制造电子器件的方法
WO2018101377A1 (ja) 化合物、樹脂、組成物並びにレジストパターン形成方法及び回路パターン形成方法
TW202031629A (zh) 化合物,及包含其之組成物,以及阻劑圖型之形成方法及絕緣膜之形成方法
JP6427684B2 (ja) レジスト組成物、並びに、これを用いたレジスト膜、パターン形成方法及び電子デバイスの製造方法
WO2018135498A1 (ja) 化合物、樹脂、組成物及びパターン形成方法
TWI776174B (zh) 包含光酸產生劑的抗反射塗料組成物、經塗覆的基底和形成圖案之方法
KR20170113247A (ko) 레지스트 조성물 및 레지스트 패턴 형성 방법
JP7248956B2 (ja) 組成物、並びに、レジストパターンの形成方法及び絶縁膜の形成方法
TW201732423A (zh) 組成物以及使用其的抗蝕劑膜、圖案形成方法及電子元件的製造方法
WO2024116798A1 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び電子デバイスの製造方法
WO2024116797A1 (ja) 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び電子デバイスの製造方法
WO2023181855A1 (ja) 感光性組成物及びパターン形成方法